基于局部电场来调整图案化过程的模型中的目标特征的方法与流程

文档序号:26007759发布日期:2021-07-23 21:26阅读:264来源:国知局
基于局部电场来调整图案化过程的模型中的目标特征的方法与流程

相关申请的交叉引用

本申请要求于2018年12月7日提交的欧洲申请18211056.9的优先权,且该申请的全部内容以引用的方式而合并入本文中。

本文中的描述通常涉及掩模制造和图案化过程。更具体地,描述涉及一种用于基于针对图案化过程而估计的局部电场来调整所述图案化过程的模型中的目标特征的设备和方法。



背景技术:

光刻投影设备可以用于例如集成电路(ic)的制造中。在这种情况下,图案形成装置(例如,掩模)可以包括或提供与ic的单层对应的图案(“设计布局”),并且这一图案可以通过诸如穿过图案形成装置上的图案来辐照已经涂覆有辐射敏感材料(“抗蚀剂”)层的衬底(例如硅晶片)上的目标部分(例如包括一个或更多个管芯)的方法,被转印到所述目标部分上。通常,单个衬底包括被光刻投影设备连续地、一次一个目标部分地将图案转印到其上的多个相邻目标部分。在一种类型的光刻投影设备中,整个图案形成装置上的图案被一次转印到一个目标部分上;这样的设备通常称作为步进器。在一种替代的设备(通常称为步进扫描设备)中,投影束沿给定的参考方向(“扫描”方向)在图案形成装置之上扫描,同时沿与所述参考方向平行或反向平行的方向同步移动衬底。图案形成装置上的图案的不同部分被逐渐地转印到一个目标部分上。因为通常光刻投影设备将具有减小比率m(例如,4),所以衬底被移动的速率f将是投影束扫描所述图案形成装置的速率的1/m倍。关于本文描述的光刻装置的更多信息可以从例如us6,046,792中搜集到,该文献通过引用并入本文中。

在将所述图案从图案形成装置转印至衬底之前,衬底可能经历各种工序,诸如涂底料、抗蚀剂涂覆以及软焙烤。在曝光之后,衬底可能经历其它工序(“曝光后工序”),诸如曝光后焙烤(peb)、显影、硬焙烤以及对所转印的图案的测量/检查。这一系列的工序被用作为制造器件(例如ic)的单个层的基础。之后衬底可能经历各种过程,诸如蚀刻、离子注入(掺杂)、金属化、氧化、化学机械抛光等,所有的这些过程都旨在最终完成器件的单个层。如果器件需要多个层,则针对每一层重复整个工序或其变型。最终,器件将设置在衬底上的每一目标部分中。之后通过诸如切片或切割等技术,将这些器件互相分开,据此单独的器件可以安装在载体上,连接至引脚等。

因而,制造器件(诸如半导体器件)通常涉及使用多个制造过程来处理衬底(例如,半导体晶片)以形成器件的各种特征和多个层。这些层和特征通常使用例如淀积、光刻、蚀刻、化学机械抛光、和离子注入来制造和处理。可以在衬底上的多个管芯上制造多个器件,且然后将其分成单独的器件。这种器件制造过程可以被认为是图案化过程。图案化过程涉及图案形成步骤,诸如在光刻设备中使用图案形成装置的光学光刻术和/或纳米压印光刻术,以将图案形成装置上的图案转印到衬底上,并且通常但可选地涉及一个或更多个相关的图案处理步骤,诸如通过显影设备的抗蚀剂显影、使用烘焙工具的衬底烘焙、使用蚀刻设备来使用图案进行蚀刻等。

如所提及的,光刻术是制造器件(诸如ic)中的核心步骤,其中,形成于衬底上的图案限定器件的功能元件,诸如微处理器、存储器芯片等。类似的光刻技术也用于形成平板显示器、微机电系统(mems)和其它器件。

随着半导体制造过程继续进步,几十年来,功能元件的尺寸已经不断地减小的同时每一个器件的功能元件(诸如晶体管)的量已经在稳定地增加这遵循着通常称为“莫尔定律”的趋势。在当前的技术状态下,使用光刻投影设备来制造器件的多个层,光刻投影设备使用来自深紫外线照射源的照射将设计布局投影到衬底上,从而形成具有远低于100nm(即,小于来自照射源(例如193nm照射源)的辐射的波长的一半)的尺寸的单个功能元件。

其中具有尺寸小于光刻投影设备的经典分辨率极限的特征被印制的这种过程通常被称为低k1光刻术,它所依据的分辨率公式是cd=k1×λ/na,其中,λ是所采用的辐射的波长(当前大多数情况下是248nm或193nm),na是光刻投影设备中的投影光学元件的数值孔径,cd是“临界尺寸”(通常是所印制的最小特征大小)以及,k1是经验分辨率因子。通常,k1越小,在衬底上再现类似于由设计者所规划的形状和尺寸以实现特定电学功能性和性能的图案就变得越困难。为了克服这些困难,将复杂的精调整步骤应用到光刻投影设备、设计布局或图案形成装置。这些步骤包括例如但不限于:na和光学相干性设定的优化、自定义照射方案、使用相移图案形成装置、设计布局中的光学邻近效果校正(opc,有时也称作“光学和过程校正”),或通常被定义为“分辨率增强技术”(ret)的其它方法。如本文中使用的术语“投影光学元件”应该被宽泛地解释为涵盖各种类型的光学系统,包括例如折射型光学器件、反射型光学器件、孔和反射折射型光学器件。术语“投影光学元件”也可以包括用于共同地或单个地引导、成形或控制投影辐射束的根据这些设计类型中的任一个来操作的部件。术语“投影光学元件”可以包括光刻投影设备中的任何光学部件,无论光学部件位于光刻投影设备的光学路径上的什么地方。投影光学元件可以包括用于在来自源的辐射通过图案形成装置之前成形、调整和/或投影该辐射的光学部件,或者用于在该辐射通过图案形成装置之后成形、调整和/或投影该辐射的光学部件。投影光学元件通常不包括源和图案形成装置。



技术实现要素:

根据实施例,提供一种基于针对所述图案化过程而估计的局部电场来调整所述图案化过程的模型中的目标特征的方法。所述方法包括利用硬件计算机系统获得所关注的掩模叠层区域。所述掩模叠层区域具有与电磁波通过所关注的掩模叠层区域的传播相关联的一个或更多个特性。所关注的掩模叠层区域包括所述目标特征。所述方法包括利用所述硬件计算机系统基于与电磁波通过所关注的掩模叠层区域的传播相关联的一个或更多个特性来估计局部电场。所述局部电场是针对所关注的掩模叠层区域的邻近于所述目标特征的一部分而估计的。所述方法包括由所述硬件计算机系统基于所述估计的局部电场来调整所述目标特征。

在实施例中,基于估计的局部电场来调整所述目标特征包括:由所述硬件计算机系统确定估计的局部电场在所述图案化过程的蚀刻期间对所述目标特征产生的效果、或估计的局部电场和所述目标特征对所述蚀刻产生的效果,以及由所述硬件计算机系统基于蚀刻期间对目标特征的估计影响来调整所述目标特征。

在实施例中,确定估计的局部电场对所述目标特征产生的效果包括:由所述硬件计算机系统确定所关注的掩模叠层区域的邻近于所述目标特征的部分中的导电部件上的图像电荷,和/或由所述硬件计算机系统求解泊松方程以确定所述局部电场。

在实施例中,所述目标特征是量测目标设计。在实施例中,所述方法还包括由硬件计算机系统迭代地重复估计所述局部电场和调整所述目标特征一次或更多次,以增强所述量测目标设计。在实施例中,所述方法还包括:利用所述硬件计算机系统增强所述量测目标设计,以减少在所述图案化过程的光致抗蚀剂显影之后所测量(显影后检查-adi)的重叠与蚀刻之后所测量(蚀刻后检查-aei)的重叠之间的估计差异。在实施例中,adi与aei之间的差异是重叠惩罚(adi–aei)。在实施例中,所述方法还包括:利用所述硬件计算机系统来确定针对aei重叠测量的校正;和/或基于增强的量测目标设计来确定所述aei重叠测量。

在实施例中,调整所述目标特征一次或更多次以增强所述量测目标设计包括以下中的一个或更多个:由所述硬件计算机系统调整所述量测目标相对于彼此的放置、所述量测目标相对于掩模布局设计中的其它特征的放置,或由所述硬件计算机系统添加一个或更多个虚拟特征至所述量测目标设计。

在实施例中,与电磁波通过所关注的掩模叠层区域的传播相关联的一个或更多个特性包括叠层特性,所述叠层特性包括层性质、叠层设计规则、或层集成要求中的一个或更多个。

在实施例中,与电磁波通过所关注的掩模叠层区域的传播相关联的一个或更多个特性包括量测目标设计特性,所述量测目标设计特-部光栅设计、对比度、或底部光栅设计中的一个或更多个。

在实施例中,所述目标特征是掩模布局设计。在实施例中,所述方法还包括由所述硬件计算机系统迭代地重复估计所述局部电场和调整所述目标特征一次或更多次,以增强所述掩模布局设计。

在实施例中,调整所述目标特征一次或更多次以增强所述掩模布局设计包括以下中的一个或更多个:由所述硬件计算机系统调整所述掩模布局设计中的特征放置,或由硬件计算机系统添加一个或更多个虚拟特征至所述掩模布局设计。

在实施例中,调整所述目标特征一次或更多次以增强所述掩模布局设计包括:(1)由所述硬件计算机系统确定边缘放置,(2)由所述硬件计算机系统基于边缘放置确定估计的局部电场在图案化过程的蚀刻期间对所述掩模布局设计产生的效果,以及(3)由所述硬件计算机系统根据基于边缘放置而估计的效果来调整所述掩模布局设计。

在实施例中,与电磁波通过所述所关注的掩模叠层区域的传播相关联的一个或更多个特性包括掩模布局设计特性,所述掩模布局设计特性包括包括特征的相对于彼此的布置、各单独层的导电部分彼此的邻近性,所关注的所述掩模叠层区域的所述图形化过程的模型中的晶片的边缘和/或中心的部位。

在实施例中,所述方法还包括:由所述硬件计算机系统输出关于估计的局部电场和/或所估计局部电场的对所述目标特征产生的效果的指示以供所述用户审查,由所述硬件计算机系统接收来自用户的指示对用户期望的目标特征的调整的信息的录入和/或选择,由所述硬件计算机系统基于估计的局部电场和所需的调整来调整所述目标特征。

在实施例中,所述方法还包括由硬件计算机系统基于估计的局部电场来调整所述目标特征,以促成三维量测。

根据另一实施例,提供一种计算机程序产品。所述计算机程序产品包括非暂时性计算机可读介质,所述非暂时性计算机可读介质中记录有在由计算机执行时实施如上所描述的方法的指令。

附图说明

对于本领域普通技术人员而言,在结合附图而评述具体实施例的以下描述的情况下,以上方面和其它方面及特征就将变得显而易见,在所述图中:

图1示意性地示出了根据实施例的光刻设备。

图2示意性地示出了根据实施例的光刻单元或簇的实施例。

图3示意性地示出了根据实施例的示例检查设备和量测技术。

图4示意性地示出了根据实施例的示例检查设备。

图5示出了根据实施例的检查设备的照射斑与量测目标之间的关系。

图6示意性地示出了根据实施例的基于测量数据导出多个关注变量的过程。

图7a是示出根据实施例的“针对控制的设计”工艺流程的各个阶段的流程图。

图7b是示出根据实施例的用于可视化的各个阶段的框图。

图7c是示出根据实施例的所述“针对控制的设计”过程如何确定对过程扰动具有鲁棒性的量测目标设计的流程图。

图8a图示了根据实施例的与晶片和聚焦环相关联的全局电场。

图8b图示了根据实施例的晶片上的模型化器件结构以及受埋置导电晶片层影响的局部电场对于待蚀刻的量测目标或任何其它特征产生的效果。

图9a图示了根据实施例的晶片叠层的一部分的各层的两个模型化横截面。

图9b图示了根据实施例的晶片叠层的另一部分的各层的两个模型化横截面。

图9c图示了根据实施例的晶片叠层的另一部分的各层的两个模型化横截面。

图9d图示了根据实施例的晶片叠层的另一部分的各层的另一模型化横截面。

图9e图示了根据实施例,通过基于与所述量测目标的一部分相关联的局部电场设计添加子结构和/或虚拟特征至光栅,使用本系统和/或方法而已增强的量测目标的一部分的各层的两个模型化横截面。

图10图示了根据实施例的用于基于针对图案化过程而估计的局部电场来调整在图案化过程模型中的目标特征(例如,量测目标设计)的方法。

图11图示了根据实施例的用于基于针对图案化过程而估计的局部电场来调整在图案化过程的模型中的目标特征(例如,掩模布局设计)的另一方法。

图12是根据实施例的示例计算机系统的框图。

图13是根据实施例的与图1类似的光刻投影设备的示意图。

图14是根据实施例的图13中的设备的更详细视图。

图15是根据实施例的图13和图14的设备的源收集器模块so的更详细视图。

具体实施方式

本文的描述通常涉及掩模制造和图案化过程。更具体地,该描述涉及用于基于针对图案化过程而估计的局部电场来调整在图案化过程模型中的目标特征的设备或方法。这可便利于确定用于模拟系统和的晶片的层(或层的一部分,例如,在量测目标处或附近)的蚀刻轮廓/或具有其它目的。这些模拟系统可在对准量测目标设计、或其它产品特征的设计期间(作为非限制性示例)使用所确定的蚀刻轮廓,或在其它操作中使用。

如下面更详细地描述的,重叠是晶片的当前层与先前层之间的相对偏移的指示。重叠通常是基于在划线中所包括的量测标记的光学响应来确定的。通常使用诸如asmldesign4control(d4c)和yieldstar之类的软件工具对所述量测标记和所述光学响应进行建模,以便利于在实际制造晶片之前优化所述晶片制造选配方案和所述量测标记设计(例如,用以减少重叠和/或用于其它目的)。

模型用于通常地用在针对图案化过程定义的量测标记设计和重叠中(例如,用以建模/模型化或以其它方式确定蚀刻轮廓)。例如,d4c或其它类似工具需要蚀刻轮廓(在许多其它与过程相关的输入中)来构建“叠层”,该叠层对实际晶片进行建模/模型化以供精确模拟。然而,典型的模型过于简单化(例如,该模型针对蚀刻工具使用全局电场)。由该模型不能很好地描述晶片上的蚀刻效果,从而降低了模拟精度,并且可能导致模拟重叠测量与实际重叠测量之间的相关性较差。yieldstar(例如)或其它扫描器量测标记信号对模型化的蚀刻后轮廓非常敏感。模型与实际轮廓之间的蚀刻轮廓差异通常是由于模型不能准确地解释局部电场而造成的。

例如,众所周知,蚀刻工具内的全局电场分布对如何将光致抗蚀剂中所限定的结构转移到底层衬底中具有显著影响。这种效果可以通过考虑在光致抗蚀剂显影之后所测量(显影后检查,或adi)的重叠和在蚀刻之后所测量(蚀刻后检查,或aei)的重叠之间的差异来表征。蚀刻工具配备有聚焦环。聚焦环(消耗品)与晶片一起被蚀刻,且这影响到接近于晶片边缘的电场均匀性。这继而会影响到蚀刻引起的重叠损坏或惩罚(adi-aei)。由于聚焦环的磨损引起的电场不均匀性可以通过驱动所述聚焦环来校正。现有的系统和方法考虑了蚀刻后所述局部电场的效果及其对重叠配准和器件特性产生的影响。

本文在量测标记和其它晶片特征生成的上下文中描述当前系统和方法,但这并非预期是限制性的。当前的系统和方法通常可应用于许多不同的过程,其中估计局部电场的效果是有用的。例如,本发明的系统和方法便利于增强(相对于现有技术系统)和/或以其它方式较精确地建模和/或确定蚀刻轮廓。这种对蚀刻轮廓的较精确的建模和/或确定可以增强对晶片的当前层和先前层之间的相对偏移(即重叠)的确定,便利于降低晶片的当前层与先前层之间的相对偏移,促成增强的晶片特征设计和/或增强的晶片特征放置,和/或具有其它目的。如本文所描述,重叠通常基于被包括在划线中的量测标记的光学响应来确定。在一些实施例中,本发明的系统和方法生成较准确(相对于现有技术系统)的量测目标模型,其继而促进较准确(相对于现有技术系统)的重叠或其它参数的确定。

以下段落描述系统和/或相关系统的若干部件,以及用于基于针对图案化过程而估计的局部电场来调整图案化过程的模型中的目标特征的方法。如上所描述,这些模拟系统可在例如对准量测目标设计、或晶片特征设计期间,或在其它操作期间来使用估计的局部电场。

尽管本文已经具体参考了集成电路(ic)的制造,但是应理解,本文的描述具有许多其它可能的应用。例如,它可以用于集成光学系统的制造、磁畴存储器的引导和检测图案、液晶显示面板、薄膜磁头等。本领域技术人员将理解,在这种替代应用的上下文中,本文中的术语“掩模版”、“晶片”或“管芯”的任何使用可以被认为分别与更上位的术语“掩模”、“衬底”或“目标部分”互换。

图1示意性地描绘光刻设备la的实施例。所述设备包括:

-照射系统(照射器)il,所述照射系统被配置成调节辐射束b(例如uv辐射、duv辐射、或euv辐射);

-支撑结构(例如掩模台)mt,所述支撑结构被构造成支撑图案形成装置(例如掩模)ma,并且连接至被配置成根据某些参数来准确地定位所述图案形成装置的第一定位器pm;

-衬底台(例如晶片台)wt(例如,wta、wtb或二者),所述衬底台被配置成保持衬底(例如涂覆有抗蚀剂的晶片)w且联接至被配置成根据某些参数来准确地定位所述衬底的第二定位器pw;和

-投影系统(例如折射投影透镜系统)ps,所述投影系统被配置成将由图案形成装置ma赋予至辐射束b的图案投影至衬底w的目标部分c(例如包括一个或更多个管芯,且通常被称为场)上。所述投影系统被支撑在参考框架(rf)上。

如这里所描绘的,所述设备属于透射类型(例如,使用透射型掩模)。替代地,所述设备可以属于反射类型(例如,使用上文提及的类型的可编程反射镜阵列,或使用反射型掩模)。

照射器il接收来自辐射源so的辐射束。例如,当源为准分子激光器时,源和光刻设备可以是分立的实体。在这种情况下,不将源看成是形成了所述光刻设备的一部分,且辐射束借助于包括例如合适的定向反射镜和/或扩束器的束传递系统bd的帮助从源so被传递至照射器il。在其它情况下,例如当源为汞灯时,源可以是设备的组成部分。源so及照射器il以及束传递系统bd(如果需要的话)可以被称作辐射系统。

所述照射器il可以改变束的强度分布。所述照射器可以被布置成限制辐射束的径向范围,使得强度分布在照射器il的光瞳平面中的环形区域内为非零的。另外地或替代地,所述照射器il可操作以限制束在光瞳平面中的分布,从而使得所述强度分布在光瞳平面中的多个等距地间隔开的扇区中为非零的。在照射器il的光瞳平面中的辐射束的强度分布可以被称为照射模式。

所述照射器il可以包括被配置用以调整所述束的(角度/空间)强度分布的调节器am。通常,可以对所述照射器的光瞳平面中的强度分布的至少所述外部和/或内部径向范围(通常分别称为σ-外部和σ-内部)进行调整。所述照射器il可操作以改变所述束的角强度分布。例如,照射器可操作以改变在光瞳平面中强度分布为非零的扇区的数量和角度范围。通过调整光束在照射器的光瞳平面中的强度分布,可以实现不同的照射模式。例如,通过限制照射器il的光瞳平面中的强度分布的径向和角度范围,强度分布可以具有多极分布,诸如例如偶极、四极或六极分布。可以例如通过将提供照射模式的光学器件插入照射器il中或使用空间光调制器,来获得期望的照射模式。

照射器il可操作以改变所述束的偏振并且可操作以使用调节器am来调整偏振。跨越所述照射器il的整个光瞳平面上的所述辐射束的偏振状态可以被称为偏振模式。不同的偏振模式的使用可以允许在衬底w上形成的图像中获得较大的对比度。辐射束可以是非偏振的。可替代地,所述照射器可以被布置为线性地偏振所述辐射束。辐射束的偏振方向可以跨越照射器il的光瞳平面而变化。辐射的偏振方向在所述照射器il的光瞳平面中的不同区域中可以是不同的。可以根据照射模式来选择所述辐射的偏振状态。对于多极照射模式,辐射束的每个极的偏振通常可以垂直于该极在照射器il的光瞳平面中的位置矢量。例如,对于偶极照射模式,所述辐射可以在大致与将偶极的两个相对的扇区二等分的线垂直的方向上被线性地偏振。辐射束可以在两个不同的正交方向之一上被偏振,这可以被称为x偏振态和y偏振态。对于四极照射模式,每个极的扇区中的辐射可以在大致与将该扇区二等分的线垂直的方向上被线性地偏振。这种偏振模式可以被称为xy偏振。类似地,对于六极照射模式,每个极的扇区中的辐射可以在大致与将该扇区二等分的线垂直的方向上被线性地偏振。该偏振模式可以被称为te偏振。

另外,所述照射器il通常包括各种其它部件,例如积分器in和聚光器co。照射系统可以包括用于引导、成形或控制辐射的各种类型的光学部件,诸如折射型、反射型、磁性型、电磁型、静电型或其它类型的光学部件,或其任何组合。

因而,所述照射器提供调节后的辐射束pb,在其横截面中具有所期望的均匀性和强度分布。

支撑结构mt以依赖于图案形成装置的方向、光刻设备的设计和其它条件(诸如图案形成装置是否被保持在真空环境中)的方式来支撑图案形成装置。支撑结构可以使用机械、真空、静电或其它夹持技术以保持图案形成装置。支撑结构可以是例如框架或台,其可以根据需要而是固定的或可移动的。支撑结构可以确保图案形成装置例如相对于投影系统处于期望的位置。可以认为本文中对术语“掩模版”或“掩模”的任何使用都与更上位的术语“图案形成装置”同义。

本文中所使用的术语“图案形成装置”应被广泛地解释为是指可以用于在衬底的目标部分中赋予图案的任何装置。在实施例中,图案形成装置是可以用于在辐射束的横截面中向辐射束赋予图案以在衬底的目标部分中创建图案的任何装置。应注意,例如,如果被赋予至辐射束的图案包括相移特征或所谓的辅助特征,则所述图案可以不确切地对应于衬底的目标部分中的期望的图案。通常,被赋予至辐射束的图案将对应于所述器件(诸如集成电路)的目标部分中所创建的器件中的特定功能层。

图案形成装置可以是透射型的或反射型的。图案形成装置的示例包括掩模、可编程反射镜阵列和可编程lcd面板。掩模在光刻中是众所周知的,并且包括诸如二元、交替相移和衰减相移的掩模类型,以及各种混合型掩模类型。可编程反射镜阵列的示例使用小反射镜的矩阵布置,所述小反射镜中的每个小反射镜可以被单独地倾斜,以在不同方向上对入射辐射束进行反射。倾斜的反射镜在由反射镜矩阵反射的辐射束中赋予图案。

本文中所使用的术语“投影系统”应被广泛地解释为涵盖适于所使用的曝光辐射、或适于诸如浸没液体的使用或真空的使用之类的其它因素的任何类型的投影系统,包括折射型、反射型、反射折射型、磁性型、电磁型和静电型光学系统,或其任何组合。可以认为本文中对术语“投影透镜”的任何使用都与更上位的术语“投影系统”同义。

投影系统ps具有可以是非均一的且可能影响成像到衬底w上的图案的光学传递函数。对于非偏振辐射,这些效果可以由两个纯量映射或标量图相当良好地描述,所述两个标量图描述了作为所述投影系统ps的光瞳平面中的位置的函数的射出所述投影系统ps的辐射的透射(变迹)和相对相位(像差)。可以将可以被称作透射映射和相对相位映射的这些标量图表达为基底函数的完整集合的线性组合。特别方便的集合是泽尼克多项式,所述泽尼克多项式形成了在单位圆上定义的正交多项式的集合。每个标量图的确定可以涉及确定在这种展开式中的系数。由于泽尼克多项式在单位圆上正交,因此可以通过依次计算所测量的标量图与每个泽尼克多项式的内积、并且将这种内积除以所述泽尼克多项式的范数的平方,来确定泽尼克系数。

透射映射和相对相位映射依赖于场和系统。即,通常,每个投影系统ps将针对每个场点(即,针对投影系统ps的像平面中的每个空间部位)具有不同的泽尼克展开式。可以通过将辐射(例如)从投影系统ps的物平面(即,图案形成装置ma的平面)中的点状源投影通过所述投影系统ps、且使用剪切干涉仪以测量波前(即,具有相同相位的点的轨迹)来确定投影系统ps在其光瞳平面中的相对相位。剪切干涉仪是共同路径干涉仪,因此,有利地,无需次级参考束来测量波前。剪切干涉仪可以包括:衍射光栅,例如,在投影系统的像平面(即,衬底台wt)中的二维栅格;和检测器,所述检测器被布置成检测与投影系统ps的光瞳平面共轭的平面中的干涉图案。干涉图案与辐射的相位的相对于在剪切方向上在光瞳平面中的坐标的导数相关。检测器可以包括感测元件的阵列,诸如电荷耦接器件(ccd)。

光刻设备的投影系统ps可以不产生可见的条纹,且因此,可以使用相位步进技术(诸如例如移动所述衍射光栅)来增强波前确定的准确度。可以在所述衍射光栅的平面中和在与测量的扫描方向垂直的方向上执行步进。步进范围可以是一个光栅周期,并且可以使用至少三个(均一地分布的)相位步进。因而,例如,可以在y方向上执行三次扫描测量,每次扫描测量针对在x方向上的不同位置来执行。衍射光栅的这种步进将相位变化有效地转换成强度变化,从而允许确定相位信息。所述光栅可以在与衍射光栅垂直的方向(z方向)上步进以校准所述检测器。

可以在两个垂直方向上顺序地扫描所述衍射光栅,该两个垂直方向可以与投影系统ps的坐标系的轴(x和y)重合,或者可以与这些轴成诸如45度之类的角度。扫描可以在整数个光栅周期上进行,例如一个光栅周期。所述扫描将一个方向上的相位变化平均化,从而允许重构另一方向上的相位变化。这允许将波前确定为两个方向的函数。

可以通过将辐射(例如)从投影系统ps的物平面(即,图案形成装置ma的平面)中的点状源投影通过所述投影系统ps、且使用检测器来测量与投影系统ps的光瞳平面共轭的平面中的辐射的强度,来确定投影系统ps在其光瞳平面中的透射(变迹)。可以使用与用于测量波前以确定像差的检测器相同的检测器。

投影系统ps可以包括多个光学(例如,透镜)元件且还可以包括调整机构am,所述调整机构被配置成调整所述光学元件中的一个或更多个光学元件以便校正像差(跨越整个场的光瞳平面的相位变化)。为了实现这种校正,调整机构可以是可操作的从而以一种或更多种不同的方式操控所述投影系统ps内的一个或更多个光学(例如,透镜)元件。投影系统可以具有一坐标系,其中其光轴在z方向上延伸。所述调整机构可以是可操作的以进行以下各项的任何组合:使一个或更多个光学元件移位;使一个或更多个光学元件倾斜;和/或使一个或更多个光学元件变形。光学元件的移位可以在任何方向(x、y、z或其组合)上进行。光学元件的倾斜典型地是通过围绕在x和/或y方向上的轴旋转来从垂直于光轴的平面偏离,但对于非旋转对称的非球面光学元件,可以使用围绕z轴的旋转。光学元件的变形可以包括低频形状(例如,像散)和/或高频形状(例如,自由形式非球面)。可以例如通过使用一个或更多个致动器以对光学元件的一个或更多个侧施加力、和/或通过使用一个或更多个加热元件以加热光学元件的一个或更多个选定区,来执行光学元件的变形。通常,不可能调整投影系统ps来校正变迹(即,跨越所述光瞳平面的透射变化)。可以当设计用于所述光刻设备la的图案形成装置(例如,掩模)ma时使用投影系统ps的透射映射。使用计算光刻技术,图案形成装置ma可以被设计为用于至少部分地校正变迹。

光刻设备可以是具有两个台(双平台)或更多个台(例如,两个或更多个衬底台wta、wtb,两个或更多个图案形成装置台,在没有专用于例如促成测量和/或清洁等的衬底的情况下在投影系统下方的衬底台wta和台wtb)的类型。在这些“多平台”机器中,可能并行地使用额外的台,或可以在一个或更多个台上进行预备步骤的同时将一个或更多个其它台用于曝光。例如,可以进行使用对准传感器as的对准测量和/或使用水平传感器ls的水平(高度、倾角等)测量。

光刻设备也可以属于如下类型:其中衬底的至少一部分可以由具有相对高折射率的液体(例如,水)覆盖,以便填充介于投影系统与衬底之间的空间。也可以将浸没液体施加至所述光刻设备中的其它空间,例如,介于图案形成装置与投影系统之间的空间。浸没技术在本领域中被众所周知地用于增大投影系统的数值孔径。如本文中所使用的术语“浸没”不意味着诸如衬底之类的结构必须浸没在液体中,而是仅意味着液体在曝光期间位于投影系统与衬底之间。

在所述光刻设备的操作中,由所述照射系统il调节并且提供辐射束。辐射束b入射到被保持在支撑结构(例如,掩模台)mt上的图案形成装置(例如,掩模)ma上,并且由所述图案形成装置图案化。在已穿越所述图案形成装置ma的情况下,辐射束b穿过投影系统ps,投影系统ps将所述束聚焦至衬底w的目标部分c上。借助于第二定位器pw和位置传感器if(例如,干涉装置、线性编码器、二维编码器或电容式传感器),可以准确地移动衬底台wt,例如,以便将不同的目标部分c定位在辐射束b的路径中。类似地,第一定位器pm和另一位置传感器(其在图1中未明确地描绘)可以用于例如在从掩模库的机械获取之后、或在扫描期间相对于辐射束b的路径来准确地定位所述图案形成装置ma。通常,可以借助于形成第一定位器pm的部分的长行程模块(粗定位)和短行程模块(精定位)来实现支撑结构mt的移动。类似地,可以使用形成第二定位器pw的部分的长行程模块和短行程模块来实现衬底台wt的移动。在步进器(相对于扫描器)的情况下,支撑结构mt可以仅连接至短行程致动器,或可以是固定的。可以使用图案形成装置对准标记m1、m2和衬底对准标记p1、p2来对准图案形成装置ma和衬底w。尽管如所图示的衬底对准标记占据专用的目标部分,但所述衬底对准标记可以位于目标部分之间的空间中(这些标记被称为划线对准标记)。类似地,在多于一个管芯被设置于图案形成装置ma上的情形中,图案形成装置对准标记可以位于所述管芯之间。

所描绘的设备可以用于以下模式中的至少一种模式中:

1.在步进模式中,在将被赋予至辐射束的整个图案一次性投影至目标部分c上的同时,使支撑结构mt和衬底台wt保持基本上静止(即,单次静态曝光)。接着,使衬底台wt在x和/或y方向上移位,使得可以曝光不同的目标部分c。在步进模式中,曝光场的最大大小限制了在单次静态曝光中成像的目标部分c的大小。

2.在扫描模式中,在将被赋予至辐射束的图案投影至目标部分c上的同时,同步地扫描支撑结构mt和衬底台wt(即,单次动态曝光)。可以由投影系统ps的放大率(缩小率)和图像反转特性来确定衬底台wt相对于支撑结构mt的速度和方向。在扫描模式中,曝光场的最大大小限制了在单次动态曝光中的目标部分的宽度(在非扫描方向上),而扫描运动的长度确定了目标部分的高度(在扫描方向上)。

3.在另一模式中,在将被赋予至辐射束的图案投影至目标部分c上时,使支撑结构mt保持基本上静止,从而保持可编程图案形成装置,并且移动或扫描衬底台wt。在这种模式中,通常使用脉冲辐射源,并且在衬底台wt的每次移动之后或在扫描期间的连续辐射脉冲之间根据需要来更新可编程图案形成装置。这种操作模式可以易于被应用至利用可编程图案形成装置(诸如上文提及的类型的可编程反射镜阵列)的无掩模光刻术。

也可以使用对上文描述的使用模式的组合和/或变化或完全不同的使用模式。

尽管在本文中可以具体参考光刻设备在ic制造中的使用,但是应该理解,本文描述的光刻设备可以具有其它应用,诸如集成光学系统的制造、用于磁畴存储器的引导和探测图案、液晶显示器(lcd)、薄膜磁头等。本领域技术人员将理解,在这种替代应用的情境中,术语“晶片”或“管芯”在这里的任何使用可以分别被认为与更上位的术语“衬底”或“目标部分”同义。这里提到的衬底可以在曝光之前或之后在例如涂覆显影系统或轨道(track)(通常将抗蚀剂层施加到衬底并且使曝光的抗蚀剂显影的工具)、或量测工具或检查工具中进行处理。在适用的情况下,本文的公开内容可以被应用于这种和其它衬底处理工具。此外,所述衬底可以被处理一次以上,例如以便产生多层ic,使得本文中所使用的术语衬底也可以指代已经包含多个处理后的层的衬底。

本文中所使用的术语“辐射”和“束”包含全部类型的电磁辐射,所述电磁辐射包括紫外(uv)或深紫外(duv)辐射(例如具有365nm、248nm、193nm、157nm或126nm的波长)和极紫外(euv)辐射(例如具有在5nm至20nm的范围内的波长)以及诸如离子束或电子束之类的粒子束。

图案形成装置上的各种图案或由图案形成装置提供的各种图案可以具有不同的过程窗口(即,将在其下产生规格内的图案的处理变量的空间)。与潜在的系统性缺陷有关的图案规格的示例包括对于颈缩、线拉回、线薄化、cd、边缘放置、重叠、抗蚀剂顶部损耗、抗蚀剂底切和/或桥接的检查。可以通过合并(例如,重叠)每个单独的图案的过程窗口来获得图案形成装置或其区域上的所有图案的过程窗口。一组图案的过程窗口的边界包括多个单独的图案中的一些的过程窗口的边界。换句话说,这些单独的图案限制了所述一组图案的过程窗口。这些图案可以称为“热斑”或“过程窗口限制图案(pwlp)”,这在本文中可以互换地使用。当控制光刻过程的一部分时,有可能且经济地聚焦于热斑上。当热斑没有缺陷时,很可能其它图案没有缺陷。

如图2所示出的,光刻设备la可以形成光刻单元lc(有时也被称作光刻元或光刻簇)的部分,光刻单元lc也包括用于对衬底执行曝光前过程和曝光后过程的设备。通常,这些设备包括用于沉积一个或更多个抗蚀剂层的一个或更多个旋涂器sc、用于显影曝光后的抗蚀剂的一个或更多个显影器de、一个或更多个激冷板ch和/或一个或更多个焙烤板bk。衬底运送装置或机器人ro从输入端口i/o1、输出端口i/o2拾取一个或更多个衬底,在不同的过程设备之间移动这些衬底、且将它们传递至光刻设备的进料台lb。常常被统称为涂覆显影系统或轨道(track)的这些设备处于涂覆显影系统控制单元或轨道控制单元tcu的控制下,涂覆显影系统控制单元或轨道控制单元tcu自身受管理控制系统scs控制,管理控制系统scs也经由光刻控制单元lacu来控制光刻设备。因此,不同的设备可以被操作以最大化吞吐量和处理效率。

为了正确地且一致地曝光由光刻设备曝光的衬底,和/或为了监控包括至少一个图案转印步骤(例如,光学光刻步骤)的图案化过程(例如,器件制造过程)的一部分,希望检查衬底或其它物体以测量或确定一个或更多个特性,诸如对准、重叠(其可以例如在叠置层中的结构之间,或在同一层中的已由例如双重图案化过程分别提供至所述层的结构之间)、线厚度、临界尺寸(cd)、聚焦偏移、材料特性等。因此,其中定位有光刻元lc的制造设施也典型地包括量测系统met,量测系统met接收在光刻元中已经处理过的一些或全部衬底w或光刻元中的其它物体。测量系统met可以是光刻元lc的部分,例如,和/或其可以是所述光刻设备la(诸如对准传感器as)的部分。

一个或更多个所测量的参数可以包括例如在图案化衬底中或之上所形成的连续层之间的重叠、例如在图案化衬底中或之上所形成的特征的临界尺寸(cd)(例如,临界线宽)、光学光刻步骤的聚焦或聚焦误差、光学光刻步骤的剂量或剂量误差、光学光刻步骤的光学像差,等等。可以在产品衬底本身的目标上和/或设置于所述衬底上的专用量测目标上执行这种测量。该测量可以在抗蚀剂显影之后(adi)但在蚀刻之前执行,或者可以在蚀刻之后(aei)执行,或者在这两种情况下执行。

存在着用于对在图案化过程中所形成的结构进行测量的多种技术,包括使用扫描电子显微镜、基于图像的测量工具和/或各种专用工具。如上所述,专用量测工具的一种快速且非侵入性的形式中,辐射束被引导到衬底的表面上的目标上,并且测量了散射(衍射/反射)束的特性。通过评估由所述衬底散射的辐射的一种或更多种特性,可以确定所述衬底的一种或更多种特性。这可以称为基于衍射的量测。这种基于衍射的量测的一种这样的应用是在目标内的特征非对称性的测量中。例如,这可以用作重叠的量测,但是其它应用也是已知的。例如,可以通过比较衍射光谱的相对部分(例如,比较周期性光栅的衍射光谱中的-1和+1阶)来测量非对称性。这可以如上文所述地实现,并且可以如例如在美国专利申请公开us2006-066855中所描述般实现,该文献通过引用整体并入本文。基于衍射的量测的另一个应用是在目标内的特征宽度(cd)的测量。这样的技术可以使用下文描述的设备和方法。

因而,在器件制造过程(例如,图案化过程或光刻过程)中,衬底或其它物体可以在该过程期间或该过程之后经受进行各种类型的测量。该测量可以确定特定衬底是否有缺陷、可以对该过程和该过程中所使用的设备进行调整(例如,将衬底上的两层对准,或将所述图案形成装置与衬底对准)、可以测量所述过程和设备的性能、或可以用于其它目的。测量的示例包括光学成像(例如,光学显微镜)、非成像光学测量(例如,基于衍射的测量,诸如asmlyieldstar量测工具、asmlsmash量测系统)、机械测量(例如,使用触笔的仿形测量、原子力显微镜(afm))、和/或非光学成像(例如,扫描电子显微镜(sem))。美国专利no.6,961,116(其全部内容通过引用并入本文)中描述了一种smash(智能型对准传感器混合式)系统,其采用了一种自参考干涉仪,该干涉仪产生对准标记的两个交叠的且相对地旋转的图像,检测在所述图像的傅立叶变换会产生干扰的光瞳平面中的强度,并且从两个图像的衍射阶之间的相位差中提取位置信息,其表现为干涉阶的强度变化。

可以将量测结果直接或间接地提供至管理控制系统scs。如果检测到误差,则可以对后续衬底的曝光(尤其在可以足够迅速且快速完成检查使得所述批量的一个或更多个其它衬底仍待曝光的情况下)和/或对曝光的衬底的后续曝光进行调整。此外,已被曝光的衬底可以被剥离和返工以改善良率,或被舍弃,由此避免对已知有缺陷的衬底执行进一步处理。在衬底的仅一些目标部分有缺陷的情况下,可以仅对满足规格的那些目标部分执行另外的曝光。

在量测系统met内,量测设备用于确定衬底的一个或更多个特性,并且特别是确定不同衬底的一个或更多个特性如何变化、或同一衬底的不同层在不同层间如何变化。如上所述,量测设备可以被集成至光刻设备la或光刻元lc中,或可以是单独的装置。

为了实现量测,可以将一个或更多个目标设置于衬底上。在实施例中,目标被专门设计且可以包括周期性结构。在实施例中,目标是器件图案的一部分,例如是器件图案的周期性结构。在实施例中,器件图案是存储器装置的周期性结构(例如,双极晶体管(bpt)、位线接触部(blc)等结构)。

在实施例中,衬底上的目标可以包括一个或更多个一维周期性结构(例如,光栅),其被印制成使得在显影之后,所述周期性结构特征由实体抗蚀剂线形成。在实施例中,所述目标可以包括一个或更多个二维周期性结构(例如,光栅),其被印制成使得在显影之后,所述一个或更多个周期性结构由抗蚀剂中的实体抗蚀剂导柱或通孔形成。栅条、导柱或通孔可以被可替代地被蚀刻至衬底中(例如,被蚀刻至衬底上的一个或更多个层中)。

在实施例中,图案化过程的所关注的参数中的一个参数是重叠。可以使用暗场散射量测术来测量重叠,其中阻挡了零衍射阶(对应于镜面反射),并且仅处理较高阶。可以在pct专利申请公开号wo2009/078708和公开号wo2009/106279中发现暗场量测的示例,所述专利申请公开的全文由此以引用方式并入。美国专利申请公开us2011-0027704、us2011-0043791和us2012-0242970中已描述所述技术的进一步开发,所述专利申请公开的全文由此以引用方式并入。使用衍射阶的暗场检测的基于衍射的重叠能够实现对较小目标的重叠测量。这些目标可以小于照射斑且可以由衬底上的器件产品结构环绕。在实施例中,可以在一次辐射捕获中测量多个目标。

图3描绘了示例检查设备(例如,散射仪)。它包括宽带(白光)辐射投影仪2,其将辐射投影到衬底w上。被重新引导的辐射被传递到光谱仪检测器4,所述光谱仪检测器测量镜面反射辐射的光谱10(强度作为波长的函数),例如在图3的左下方的曲线图中所示。根据这个数据,可以由处理器pu,例如通过严格耦合波分析和非线性回归,或者通过如图3的右下部处所示的与模拟光谱的库进行比较,来重构导致所检测到的光谱的结构或轮廓。通常,对于重构,所述结构的一般形式是已知的,并且根据供制造所述结构的过程的知识来假设一些变量,仅留下所述结构的一些变量将要根据所测量的数据来确定。这种检查设备可以被构造为法向入射(即正入射)检查设备或倾斜入射检查设备。

在图4中示出可以使用的另一种检查设备。在这种装置中,由辐射源2发射的辐射通过使用透镜系统12来进行准直,并且被透射穿过干涉滤光器13和偏振器17、被部分反射表面16反射,并且经由物镜15聚焦到衬底w上的斑s中,所述物镜具有较大的数值孔径(na),理想地是至少0.9或至少0.95。浸没检查设备(使用相对较大折射率的流体,诸如水)甚至可能具有大于1的数值孔径。

如在所述光刻设备la中,可以设置一个或更多个衬底台以在测量操作期间保持所述衬底w。所述衬底台在形式上可以与图1的衬底台wt相似或相同。在所述检查设备与所述光刻设备集成的示例中,它们甚至可以是相同的衬底台。粗定位器和精定位器可以被提供到第二定位器pw,所述第二定位器pw被配置成相对于测量光学系统而准确地定位所述衬底。例如,设置各种传感器和致动器以获取关注的目标的位置,并将关注的目标带到所述物镜15下方的适当位置。通常,将在跨越整个衬底w上的不同部位处的目标上进行许多测量。所述衬底支撑件可以沿x和y方向移动以获取不同的目标,并且可以沿z方向移动以获取所述目标相对于所述光学系统的焦点的所需位置。便利地将操作考虑成且描述为就像是物镜被相对于所述衬底带到不同部位,例如,当例如所述光学系统实际上可以保持基本静止(通常在x和y方向,但是也可能沿z方向)且仅所述衬底移动时。假设衬底和光学系统的相对位置是正确的,则原则上哪一个在现实世界中移动、或者如果两者都在移动、或者光学系统的一部分的组合在移动(例如,沿z方向和/或倾斜方向)而光学系统的其余部分保持静止并且衬底在移动(例如,沿x和y方向,但也可选地沿z和/或倾斜方向)是无所谓的。

然后,由衬底w重新引导的辐射传递穿过部分反射表面16进入检测器18中,以便检测光谱。所述检测器18可以位于背投影焦平面11处(即,在透镜系统15的焦距处),或者可以利用辅助光学器件(未示出)将平面11重新成像到检测器18上。所述检测器可以是二维检测器,从而可以测量衬底目标30的二维角散射光谱。检测器18可以是例如ccd或cmos传感器的阵列,并且可以使用例如每帧40毫秒的积分时间。

参考束可以用以例如测量所述入射辐射的强度。为此,当辐射束入射在部分反射表面16上时,其一部分被透射通过部分反射表面16作为朝向参考反射镜14的参考束。然后参考束被投影到同一检测器18的不同部分上,或者替代地投影到不同的检测器(未示出)上。

一个或更多个干涉滤光器13可以用于选择在例如405至790nm或甚至更小(诸如200至300nm)的范围内的关注的波长。干涉滤光器可以是可调谐的,而不是包括一组不同的滤光器。可以使用光栅代替干涉滤光器。可以在照射路径中设置孔径光阑或空间光调制器(未示出),以控制辐射在目标上的入射角的范围。

检测器18可以测量在单个波长(或窄波长范围)情况下的被重新引导的辐射的强度、分别在多个波长情况下的被重新引导的辐射的强度,或在一定波长范围上积分的被重新引导的辐射的强度。此外,检测器可以分别测量横向磁偏振辐射和横向电偏振辐射的强度,和/或横向磁偏振辐射和横向电偏振辐射之间的相位差。

衬底w上的目标30可以是一维光栅,其被印制成使得在显影之后,栅条由实心抗蚀剂线形成。目标30可以是二维光栅,所述二维光栅被印制成使得在显影之后,该光栅由实心抗蚀剂柱或抗蚀剂中的通孔形成。可以将栅条、柱或通孔蚀刻到衬底中或衬底上(例如,蚀刻到衬底上的一层或更多层中)。所述图案(例如,栅条、柱或通孔)对于所述图案化过程中的处理的变化(例如,光刻投影设备(特别是投影系统ps)中的光学像差、聚焦变化、剂量变化,等等)敏感,并且将表现为在所印制的光栅中的变化。因此,所印制的光栅的测量数据被用于重构所述光栅。可以将一维光栅的一个或更多个参数(诸如线宽和/或形状)、或二维光栅的一个或更多个参数(诸如柱或通孔的宽度或长度或形状)输入到重构过程中,重构过程由处理器pu根据印制步骤和/或其它检查过程的知识执行。

除了通过重构来测量参数外,角分辨散射测量在产品和/或抗蚀剂图案中的特征的非对称性的测量中也是有用的。非对称性测量的一种特殊应用是针对重叠的测量,其中所述目标30包括一组周期性特征,所述一组周期性特征叠加在另一组周期性特征上。例如,在全文并入本文中的美国专利申请公布us2006-066855中描述了使用图3或图4的仪器进行的非对称测量的构思。简而言之,仅由目标的周期性来确定了目标的衍射光谱中的衍射阶的位置,衍射光谱中的非对称性指示了构成所述目标的各个特征中的非对称性。在图4的仪器中(其中检测器18可以是图像传感器),诸如衍射阶中的非对称性直接呈现为在由检测器18所记录的光瞳图像中的非对称性。该非对称性可以通过在单元pu中的数字图像处理来测量,并且针对重叠的已知值进行校准。

图5示出了典型目标30的平面图,以及图4的设备中的照射斑s的范围。为了获得不受周围结构干扰的衍射光谱,在实施例中,所述目标30是大于照射斑s的宽度(例如,直径)的周期性结构(例如,光栅)。斑s的宽度可以小于所述目标的宽度和长度。换句话说,目标由照射“欠填充”,并且衍射信号基本上不含来自目标自身之外的产品特征等等的任何信号。照射装置2、12、13、17(图4)可以被配置为跨越物镜15的整个后焦平面提供均匀强度的照射。替代地,通过例如在照射路径中包括孔,照射可以被限制于轴上方向或离轴方向。

图6示意性地描绘了基于使用量测所获得的测量数据来确定目标图案30的一个或更多个所关注的变量的值的示例过程。由所述检测器18检测的辐射提供针对目标30的所测量的辐射分布608。针对给定目标30,可以使用例如数值麦克斯韦求解器610而从参数化模型606计算/模拟辐射分布612。所述参数化模型606示出构成所述目标和与所述目标相关联的各种材料的多个示例层。所述参数化模型606可以包括针对所考虑的所述目标的部分的特征和层的一个或更多个变量,其可以被改变并且被推导。如图6中所示出的,所述变量中的一个或更多个变量可以包括一个或更多个层的厚度t、一个或更多个特征的宽度w(例如,cd)、一个或更多个特征的高度h、和/或一个或更多个特征的侧壁角α。尽管未示出,但这些变量中的一个或更多个变量还可以包括但不限于:这些层中的一个或更多个层的折射率(例如,实数或复数折射率、折射率张量,等等)、一个或更多个层的消光系数、一个或更多个层的吸收率、在显影期间的抗蚀剂损耗、一个或更多个特征的基脚(footing),和/或一个或更多个特征的线边缘粗糙度。变量的初始值可以是针对正被测量的目标的期望值。然后在612处将所测量的辐射分布608与所计算的辐射分布612进行比较以确定两者之间的差异。如果存在差异,则可以改变参数化模型606的一个或更多个变量的值,计算出新的所计算的辐射分布612并且将其与所测量的辐射分布608进行比较,直到所测量的辐射分布608与所计算的辐射分布612之间存在足够的匹配为止。在那时,参数化模型606的变量的值提供了实际目标30的几何形状的良好或最佳匹配。在实施例中,当所测量的辐射分布608与所计算的辐射分布612之间的差在公差阈值之内时,存在足够的匹配。

图7a显示了一个流程图,其中列出了“用于控制的设计”(d4c)方法的主要阶段。在步骤710中,选择待用于光刻过程的材料。所述材料可以通过适当的gui选自与d4c交互的材料库。在阶段720中,通过输入每个过程步骤并且针对整个过程序列而构建计算机模拟模型,来限定光刻过程。在阶段730中,定义了量测目标,即,目标中所包括的各种特征的尺寸和其它特性被输入到d4c程序中。例如,如果在一结构中包括一光栅,则必须限定光栅元件的数量、各单个光栅元件的宽度、介于两个光栅元件之间的间距,等等。在阶段740中,创建了三维几何形状。此步骤还考虑到是否存在与多层目标设计相关的信息,例如,不同层之间的相对偏移。此特征能够实现多层目标设计。在阶段750中,所述设计目标的最终几何形状被可视化或直观呈现。正如下面将更详细地解释的,不仅最终设计是可视化的,而且随着设计者应用光刻过程的各个步骤,它/她可以可视化或直观呈现三维几何形状如何形成以及如何由于过程引发的效果(例如,由如本文中所描述的局部电场所导致)而改变。例如,在抗蚀剂图案化之后的三维几何形状不同于抗蚀剂去除和蚀刻之后的三维几何形状。

本发明的一重要方面是,目标设计者能够可视化或直观呈现所述方法的各个阶段,以便于在建模和模拟期间对它们的感知和控制。不同的可视化工具(称为“查看器”)被内置于d4c软件中。例如,如图7b所示,设计者可以根据所限定的光刻过程和目标来查看材料图760(并且也可以获得运行时间估计图)。一旦光刻模型被创建,则设计者可以通过模型查看器工具775查看模型参数。设计布局查看器工具780可以用于查看所述设计布局(例如,gds文件的视觉呈现或视觉渲染)。可以使用抗蚀剂轮廓查看器工具785来查看抗蚀剂中的图案轮廓。几何形状查看器工具790可以用于查看衬底上的三维结构。光瞳查看器工具795可以用于查看量测工具上的模拟响应。本领域技术人员将会理解,这些查看工具可以用于在设计和模拟期间增强设计者的理解。在d4c软件的一些实施例中可能不存在这些工具中的一个或更多个,并且在一些其它实施例中可以存在额外的查看工具。

图7c显示了图示出d4c过程如何通过减少针对光刻过程的实际模拟而选择的量测目标的数量来提高整个模拟过程的效率的流程图。如前所提及的,d4c使设计者能够设计数千甚至数百万的设计。并非所有这些设计可以相对于过程步骤中的变化是鲁棒的或稳健的。如框752中所示,为了选择能够承受过程变化的目标设计的子集,光刻机可以对所限定的光刻过程的一个或更多个步骤进行有意地扰动。扰动的引入在最初如何限定整个过程序列方面改变了整个过程序列。因此,应用扰动后的过程序列(框754)也改变了设计目标的三维几何形状。光刻机仅选择了示出原始设计目标中的非零变动的扰动,并且产生了所选择的过程扰动的子集(框756)。然后利用所述过程扰动的这个子集来模拟所述光刻过程(框758)。

使用光刻过程(或一般而言,图案化过程)来制造或制作衬底通常涉及过程变化。跨越整个衬底的所述过程变化是不均匀的。例如,在沉积过程中,薄膜倾向于在衬底的中心处是较厚的,而在靠近边缘时较薄。这些系统变化通常在测量数据中反映为“指纹”,所述“指纹”是基于已知过程条件的衬底的特性。换言之,衬底上存在有叠层,所述叠层具有根据衬底坐标的空间变化。叠层包括在所述图案化过程中形成在衬底上的多个层,以在所述衬底上形成所选择的图案(例如,设计图案)。所述叠层的每个层可以与厚度、材料特性、电特性和/或磁特性、图案化过程的特征和相关参数(例如cd、节距、重叠等)相关联。

如上所描述,蚀刻工具内部的全局电场分布对如何将光致抗蚀剂中所限定的结构转印到底层衬底中具有重要影响。作为示例,图8a图示了与晶片802和聚焦环804相关联的全局电场800。图8a图示出了受聚焦环804影响的全局电场800的一部分806。包括受聚焦环804影响的部分806的全局电场800导致显影后检查(adi)与蚀刻后检查(aei)的差异(例如,adi-aei损失或惩罚),和/或可能有其它影响。

除了全局电场分布(例如,由蚀刻工具引入),局部电场也会影响蚀刻后的晶片结构(且因而影响adi-aei损失或惩罚)。因为集成电路内的特征是导电的并且是浮动的或处于固定电位,则它们影响局部场内和/或管芯内局部电场和/或蚀刻性能。通常,可以考虑影响局部电场分布的三个粒度级别:管芯内图案密度、重叠量测目标(或其它晶片特征)本身、以及局部器件结构。

作为非限制性示例,图8b图示了晶片812上的模型化器件结构810以及受埋置导电晶片层814影响的局部电场对量测目标816(或待蚀刻的任何其它特征)产生的效果。在图8b中,箭头818表示每个量测目标816的adi-aei惩罚(其可以被认为是从晶片812的层上的先前和/或预期部位到当前和/或实际部位的相对位置偏移)。adi-aei惩罚是由受到埋置传导晶片814影响的局部电场引起的。箭头818的大小和方向(例如,相对方向和位置偏移量)取决于埋置导电晶片层814的布局、量测目标816相对于埋置导电晶片层814的不同部分的部位、和/或影响到局部电场的其它因素。

通过附加的非限制性示例,图9a-9d图示了局部电场可能对蚀刻过程产生影响。图9a图示了晶片叠层的一部分的层902的两个模型化横截面900。如图9a所示,局部电场904可能影响到在蚀刻过程期间发生的是部分906蚀刻还是完全908蚀刻。在此示例中,局部电场904影响所述蚀刻的倾斜角度(例如,蚀刻的角度对应于局部电场904的角度)。在图9a所示的示例中(例如,结合图8b),局部电场904(例如,由图8b中所示的区域814所导致的干扰)影响任何图案化结构910的蚀刻方向。例如,由芯片内部不同区域所引发的倾斜的局部电场导致晶片的一个区域中的相比于另一区域中的蚀刻中的不同倾斜。在管芯/芯片内,存在不同的导电区域(例如,埋置层814),其影响局部电场并且因此影响蚀刻方向。对于目标(例如yieldstar)顶部光栅或其它器件结构,蚀刻方向将会是类似的。

图9b示出了所述晶片叠层的另一部分的层902的两个模型化横截面920。如图9b所示,局部电场922影响针对所述量测目标的+d和-d光栅(在此示例中,在ov=0nm处)的蚀刻924和926。例如,底部(或其它较低或埋置的)光栅928和930可以导电。在此示例中,adi-aei取决于局部电场922,该局部电场受底部导电光栅928和930的存在的效果。换句话说,导电(例如yieldstar)目标(底部光栅)本身可能导致所述顶部光栅的蚀刻方向上的倾斜。所述量测目标的其它局部周围结构(诸如顶部光栅921和/或其它结构的设计)也可能影响局部电场922。adi-aei是重叠(adi)的函数。通常,硬掩模使用影响所述局部电场的导电材料。它也可以是围绕目标的虚拟图案。

图9c示出了所述晶片叠层的另一部分的层902的两个模型化横截面940。如图9c所示,局部电场942影响针对所述量测目标的+d和–d光栅的蚀刻948和950(在此示例中,在ov>0nm处)。底部(或其它较低或埋置的)光栅944和946可以同样是导电的。如图9b所示,由于底部导电光栅944和946的存在,adi-aei取决于局部电场942。所述量测目标的其它局部周围结构(诸如顶部光栅941和/或其它结构的设计)也可能影响局部电场942。adi-aei同样是重叠(adi)的函数。

图9d图示了所述晶片叠层的另一部分的层902的另一模型化横截面960。图9d图示了所述晶片叠层中的各种接触孔蚀刻962、964、966、968、970和972。这些接触孔蚀刻的形状、大小、角度和/或其它特性可以取决于所述晶片叠层中的周围导电和/或电荷承载结构。这些特性可能影响各个单独的接触孔蚀刻962至972周围的局部电场。为了图9d的清晰起见,没有示出局部电场,但可以包括与图9a至9c中所示的局部电场942、922和/或904相似或相同的局部电场。如图9d所示,底部(或其它较低或埋置的)层974可以是导电的。如图9d所示,底部导电层974可能影响局部电场,使得不同的接触孔以不同方式蚀刻。在此示例中,所述局部电场可能影响一个或更多个接触孔蚀刻的蚀刻角度。例如,因为上层976中的接触孔间隙975大致与底部导电层974的部分978的中间(或非边缘部位)对准,则接触孔蚀刻962的蚀刻角可以是大致垂直的。接触孔蚀刻970和972同样如此。相反,因为上层976中的接触孔间隙977、979和981与底部导电层974的部分978、980和982的边缘部位对准(例如,如果在图9d上绘制了局部电场箭头,则局部电场箭头将会以与接触孔蚀刻964、966和968的角度相对应的角度而倾斜),则接触孔蚀刻946、966和968的蚀刻角度可以被倾斜。

在某些情况下,局部电场可能导致接触孔与底层结构之间的非预期接触(例如,导致短路)。例如,如图9d所示,所述局部电场使得接触孔蚀刻966朝向底部导电层974的部分980倾斜并且所述部分980。这种非预期接触例如可导致集成电路故障或具有其它后果。预期或设想到不考虑局部电场(对于如图9a至9c中的量测目标,或对于如图9d中的诸如接触孔之类其它晶片特征)的负面影响的其它示例。

通常基于叠层材料特性和它们的对于处理扰动(例如,蚀刻、cmp、层厚度等)的灵敏度来优化当前重叠量测目标和/或其它晶片特征。在过程模型中经常考虑相对应的全局电场的效果。然而,在先前系统中不考虑由所述晶片在目标特征(例如,量测目标、idm、sem、e-test和/或其它注册特征、晶片对准标记、掩模布局设计中的一个或更多个特性)处或附近的局部环境所引入的所述目标特征附近的局部电场的影响。例如,如图9a至图9d所示,在先前系统中不考虑受量测目标的顶层和/或底层的局部结构影响的局部电场,该局部电场可能影响蚀刻深度、蚀刻角度、和/或蚀刻过程的其它特性。有利地,本系统和方法在目标特征(例如,量测目标、和/或其它掩模布局设计特征)优化期间考虑局部电场。本系统和方法可以通过以下操作来增强(或以其它方式优化)目标特征:在目标特征处或附近添加子结构(例如,添加至光栅设计)、调整特征(例如,量测目标)相对于周围掩模或晶片结构的放置、添加影响所述局部电场的虚拟特征,求解泊松方程以确定给定局部电场的强度和/或取向,和/或通过执行如本文所描述的其它操作。

例如,继续图9a至图9d,图9e示出了量测目标的一部分的层992的两个模型化横截面990,所述量测目标的一部分已经使用本系统和/或方法通过基于与所述量测目标的该部分相关联的局部电场996将子结构和/或虚拟特征994添加到光栅设计中而被增强。如图9e所示,如图9b和图9c所示的先前示例中所示,局部电场996不影响针对所述量测目标的+d和-d光栅的蚀刻998和999(例如,蚀刻998和999相对于层992被基本上竖直地定向)。这是因为,尽管底部(或其它较低或埋置的)光栅991和993仍可能导电,但它们现在包括子结构和/或虚拟特征994。在此示例中,adi-aei取决于局部电场996,该局部电场996受到具有子结构和/或虚拟特征994的底部导电光栅991和993的影响。目标可能是通过添加子结构使adi-aei为零。例如,在电场垂直于晶片表面的情况下,aei等于adi。所述量测目标的其它局部周围结构(诸如顶部光栅997和/或其它结构的设计)也可以影响局部电场922。

图10和图11图示了用于基于针对所述图案化过程估计的局部电场来调整图案化过程的模型中的目标特征的方法1050(图10)和1100(图11)。这些方法可以由本文所描述的模拟系统使用,或用于其它目的。方法1050在量测目标设计的上下文中描述,并且方法1100在掩模布局设计的上下文中描述,但这并非预期是限制性的。方法1050和1100通常可应用于许多不同的过程,其中确定局部电场的影响是有用的。方法1050和/或1100可以用于开发重叠量测目标、掩模布局设计、和/或任何其它集成电路特征和/或对局部电场基本上不敏感的其它特征。

在一些实施例中,方法1050可以用于确定(纯净的)adi-aei测量,以用于用作蚀刻工具优化和控制的输入,和/或用于其它目的。如图10所示,方法1050包括获得1052所关注的掩模叠层区域。所关注的掩模叠层区域具有与电磁波通过所关注的掩模叠层区域的传播相关联的一个或更多个特性。为清楚起见,这是指用以测量重叠的检测波长(例如,yieldstar波长)。静电场仅在蚀刻工具内部的蚀刻过程期间存在。在一些实施例中,所关注的掩模叠层区域被划分为多个子区域,诸如多个层。在一些实施例中,获得1052所关注的掩模叠层区域包括获得与电磁波通过所关注的掩模叠层区域的传播相关联的一个或更多个特性。在一些实施例中,与电磁波通过所关注的掩模叠层区域的传播相关联的一个或更多个特性包括叠层特性,所述叠层特性包括层性质、叠层设计规则、层集成要求、层交互顺序、和/或其它特性中的一个或更多个。在一些实施例中(例如,在用以建模或模型化对于待蚀刻的结构产生的影响的建模即模型化阶段期间),与电磁波通过所关注的掩模叠层区域的传播相关联的一个或更多个特性包括与所关注的掩模叠层区域相关联的全局电场(但在物理上,与电磁波通过所关注的掩模叠层区域的传播相关联的一个或更多个特性包括仅在图案化层的蚀刻期间而不在蚀刻后(aei)的重叠测量期间的全局电场。

在一些实施例中,与电磁波通过所关注的掩模叠层区域的传播相关联的一个或更多个特性包括引起和/或影响局部电场的所关注的掩模叠层区域的特性。引起和/或影响局部电场的所关注的掩模叠层区域的特征包括叠置层厚度、用于形成叠置层的材料、叠置层或叠置层的部分的导电性、叠置层顺序(例如,由绝缘层分隔开的导电层)、特定层的邻近性(例如。,两个导电层之间的距离)、所关注的掩模叠层区域的温度、蚀刻室内的压力、射频功率和/或其它特性。

所关注的掩模叠层区域可以是例如光刻掩模模型的目标区域。所关注的掩模叠层区域包括所述目标特征。所述目标特征可以包括一个或更多个层、一种或更多种材料、一个或更多个孔、和/或其它特征。在一些实施例中,一个或更多个材料特性可以与一个或更多个层相关联。各个单独图层可能有它们各自的各单独参数。在一些实施例中,如在方法1050中,所述目标特征是量测目标设计。

方法1050包括获得1054所述量测目标设计。在一些实施例中,获得所述量测目标设计包括获得和/或确定量测目标设计特性,包括以下的一个或更多个:顶部光栅设计、对比度、底部光栅设计、用于形成量测目标的多个层的材料、所述量测目标设计的特征的接近性、和/或其它量测目标设计特性。在一些实施例中,与电磁波通过所关注的掩模叠层区域的传播相关联的一个或更多个特性包括所述量测目标设计特性。

在一些实施例中,获得所关注的所述掩模叠层区域、所述量测目标设计、与所关注的掩模叠层区域和/或所述量测目标设计相关联的特性(例如,操作1052和/或1054),和/或其它信息可以包括:经由一个或更多个外部计算系统的数据库以电子方式访问此信息,在本地电子存储器中访问此信息,经由网络通信接收或访问此信息,经由上传、下载接收此信息,或从非暂时性存储介质和/或其它电子存储源进行的其它电子文件传输,或由其它方法获取此信息。作为示例,所关注的掩模叠层区域和/或量测目标设计的所设计尺寸和/或其它特征可以经由与设计软件(例如,d4c)或其它资源相关联的用户界面,由用户来录入或选择或以其它方式从用户传输。作为另一示例,可以作为操作1052和/或1054的一部分来测量所关注的掩模叠层区域和/或量测目标设计的尺寸或其它特征。例如,可以对于经过制造过程的实体或模型化晶片的紧邻的前一层进行此类测量。在一些实施例中,所关注的掩模叠层区域和/或量测目标设计也可以基于由设计者、系统或其它源所作出的假设来构建。

方法1050包括基于与电磁波通过所关注的掩模叠层区域的传播相关联的一个或更多个特性来估计1056所述局部电场。针对邻近于所述量测目标设计(或所述目标特征的任何其它目标特征)的所关注的掩模叠层区域的一部分来估计所述局部电场。

方法1050包括基于估计的局部电场和/或其它信息调整1058所述量测目标设计。可以基于所述局部电场和/或其它信息调整所述量测目标设计一次或更多次,以增强所述量测目标设计。在一些实施例中,调整1058所述量测目标设计一次或更多次以增强所述量测目标设计包括以下的一个或更多个:调整所述量测目标的部件相对于彼此的放置、调整所述量测目标相对于掩模布局设计中的其它特征的放置,将一个或更多个虚拟特征添加到量测目标设计,和/或其它操作。例如,先前讨论的图9e图示了根据方法1050通过基于与所述量测目标的该部分相关联的局部电场996向光栅设计添加子结构和/或虚拟特征994而已增强的量测目标。如图9e所示,局部电场996不影响针对如图9b和图9c所示的先前示例中的所述量测目标的+d和-d光栅的蚀刻998和999(例如,蚀刻998和999相对于层992基本上竖直地定向)。这是因为,尽管底部(或其它较低或埋置的)光栅991和993仍可能是导电的,但它们当前包括子结构和/或虚拟特征994。返回至图10,在一些实施例中,例如,基于估计的局部电场和/或其它信息调整1058所述量测目标设计包括优化所述量测目标设计。

在一些实施例中,估计1056所述局部电场和/或基于估计的局部电场和/或其它信息调整1058所述量测目标设计包括:确定估计的局部电场在图案化过程的蚀刻期间对于所述量测目标设计产生的效果,以及基于在蚀刻期间对所述量测目标设计的估计影响来调整所述量测目标设计。在一些实施例中,确定估计的局部电场对所述量测目标设计产生的效果包括:确定在邻近于所述量测目标设计的所关注的掩模叠层区域的部分中的导电部件上的感应电荷(例如,量测目标的顶部或底部光栅,接近量测目标的导电晶片特征等),求解泊松方程以确定由这些特征引起的局部电场的强度和/或方向,和/或其它操作。

作为一个非限制性示例,求解泊松方程将以数值计算方式进行。可以将一恒定的电位分配给两个大的电容器板(表示蚀刻工具)。替代地,可以在待蚀刻的特征的部位(例如,yieldstar和/或其它装置)处假设恒定电场。导电特性将具有恒定的电势(导体内部的电场为零)。通过在导电特征外部以数值计算方式求解泊松方程,得到电势分布。电势分布的导数与电场成正比。埋置式导电特征上的感应电荷也可以被计算,但这不是必需的。

在一些实施例中,方法1050的操作1052至1058中的一个或更多个可以包括输出以下的特性的指示:所关注的所述掩模叠层区域、所述量测目标设计、估计的局部电场和/或估计的局部电场对于所述量测目标设计产生的效果,和/或其它信息,以供用户审阅。在一些实施例中,这些操作可以包括从用户接收指示了对以下各项的调整的录入和/或选择(例如,经由下面描述的一个或更多个计算机系统部件):所关注的掩模叠层区域、所述量测目标设计、估计的局部电场和/或估计的局部电场对所述量测目标设计产生的效果,和/或用户所需的其它信息。方法1050可以包括重复操作1052至1058中的一个或更多个操作以基于根据由用户所作出的录入和/或选择而产生的更新的估计的局部电场来调整所述量测目标设计。

在一些实施例中,方法1050包括迭代地重复估计所述局部电场和/或所述局部电场对于所述量测目标设计的蚀刻产生的影响,以及调整所述目标特征一次或更多次以增强所述量测目标设计。例如,如果将要进一步增强所述量测目标设计(图1050中的“是”1059),则将所述量测目标设计的当前版本反馈回到操作1054中,并且如上所描述迭代地重复操作1054和1056。

在一些实施例中,方法1050还包括(在图10中的“否”1061之后)基于所述局部电场来增强所述量测目标设计以减少重叠损失或惩罚(adi–aei)。在一些实施例中,方法1050还包括确定1060是否需要对aei重叠测量进行校正(并且,在图10中的“是”1063之后,如果需要,确定并且提供1062该校正),和/或在图10中的“否”1065之后确定1064该aei重叠测量,这基于增强的量测指标设计来进行。例如,可以对已经在使用中的现有重叠目标使用操作1060、1062和/或1063。可校正蚀刻后的重叠读数,以获得较准确的重叠测量。当添加子结构时,也可能遇到重叠目标对比度变得不足的情况。在这种情况下,测量期间的重叠损失或惩罚可以在之后进行校正。

在一些实施例中,图11中所示的方法1100可以用于改进器件局部电场感知布局优化,和/或用于其它目的。例如,可以通过在多图案化应用和/或其它操作中的掩模拆分来实现器件局部电场感知布局优化。例如,参考图9d(器件图案),和/或其它图,方法1100被配置成使得器件图案(例如,接触孔)被放置成使得它们不受蚀刻过程的负面影响和导致缺陷。方法1100旨在优化用于曝光的掩模,采用所述晶片上已经存在的导电层。替代地,可以将子结构添加到导电层以“引导”蚀刻方向。

在图11所示的方法1100中,所述目标特征是掩模布局设计。与图10所示的方法1050类似,如图11所示,方法1100包括获得所关注的掩模叠层区域1102。同样,所关注的掩模叠层区域可以是例如光刻掩模模型的包括所述掩模布局设计的目标区域。在所述目标特征是所述掩模布局设计的实施例中,所关注的掩模叠层区域可以包括掩模和/或晶片的相对大的部分(至多包括全部)。所述掩模布局设计可以包括一个或更多个层、一种或更多种材料、一个或更多个孔、和/或其它特征。在一些实施例中,一个或更多个材料特性可以与一个或更多个层相关联。各单独层可以具有它们自己的各单独参数,这些参数导致和/或影响所关注的掩模叠层区域中的局部电场。在一些实施例中,与电磁波通过所关注的掩模叠层区域的传播相关联的一个或更多个特性包括掩模布局设计特性,所述掩模布局设计特性包括特性的相对于彼此的布置、各单独层的导电部分彼此的邻近性,掩模布局设计的一部分相对于所述图形化过程的模型中的晶片的边缘和/或中心的部位,和/或其它特性。操作1102包括获得所关注的掩模叠层区域的特性、掩模布局设计的特性、和/或与电磁波通过所关注的掩模叠层区域的传播相关联的其它信息、与所关注的掩模叠层区域相关联的全局电场、导致和/或影响局部电场的特性、和/或其它信息。

方法1100包括基于与电磁波通过所关注的掩模叠层区域的传播相关联的一个或更多个特性来估计1104所述局部电场。可以针对所述掩模布局设计的一个或更多个单独区域来估计所述局部电场,和/或可以跨所述掩模布局设计来估计所述局部电场。方法1100也包括基于估计的局部电场和/或其它信息调整1106所述掩模布局设计。可以基于所述局部电场和/或其它信息来调整所述掩模布局设计一次或更多次,以增强所述掩模布局设计。在一些实施例中,调整1106掩模布局设计一次或更多次以增强所述掩模布局设计包括以下的一个或更多个:调整所述掩模布局设计的特征相对于掩模布局设计中的其它特征的放置、向掩模布局设计添加一个或更多个虚拟特征、和/或其它操作。在一些实施例中,基于估计的局部电场和/或其它信息调整1106所述掩模布局设计包括例如优化所述掩模布局设计。

在一些实施例中,基于估计的局部电场和/或其它信息估计1104所述局部电场和/或调整1106所述掩模布局设计包括:确定估计的局部电场和所述掩模布局设计针对图案化过程的蚀刻产生的效果;以及基于所述掩模布局设计与在蚀刻期间的所述局部电场相结合的估计效果来调整所述掩模布局设计。在一些实施例中,确定估计的局部电场对于所述掩模布局设计产生的效果包括:确定所述掩模布局设计的导电部件上的图像电荷,求解泊松方程以确定由这些特征引起的所述局部电场的强度和/或方向,和/或其它操作。在一些实施例中,方法1100包括迭代地重复估计所述局部电场和调整所述掩模布局设计一次或更多次以增强所述掩模布局设计。

在一些实施例中,方法1100的操作1102至1106中的一个或更多个可以包括输出对以下各项的特性的指示:所关注的所述掩模叠层区域的特性、所述掩模布局设计、估计的局部电场,和/或其它信息,以供用户审阅。在一些实施例中,这些操作可以包括接收指示了对以下各项的调整的录入和/或选择(例如,经由下面描述的一个或更多个计算机系统部件):所关注的所述掩模叠层区域、所述量测目标设计、估计的局部电场,和/或用户所需的其它信息。方法1100可以包括重复操作1102至1106中的一个或更多个以基于根据由用户所作出的录入和/或选择而产生的更新的估计的局部电场来调整所述掩模布局设计。

如图11所示,调整所述掩模布局设计一次或更多次以增强所述掩模布局设计包括迭代地(例如,在“否”1107之后-传达掩模布局设计没有被优化的结论)确定1108边缘放置(例如,基于重叠和成像约束和/或其它信息),基于边缘放置来确定1102和1104在图案化过程的蚀刻期间估计的局部电场和所述掩模布局设计产生的效果,以及根据基于所述边缘放置而估计的效果来调整1106所述掩模布局设计。响应于对于所述掩模布局设计的调整的完成(例如,在“是”1107之后–传达所述掩模布局设计被优化的结论),方法1100输出1110局部电场感知掩模布局设计。

在一些实施例中,方法1050(图10)和1100(图11)还包括基于估计的局部电场来调整目标特征(例如,量测目标设计、掩模布局设计、和/或其它目标特征)以促成三维量测。例如,可以有目的地设计给定的量测目标,使其对局部电场敏感。这种对局部电场的灵敏度可以允许给定的量测目标充当或作为被配置成探测非平面第三(例如,“z”)晶片方向的量测工具的一部分。例如,局部电场对蚀刻产生的效果可以用作测量所述蚀刻深度的“探针或探测器”。蚀刻越深,则在被蚀刻的结构中所引入的不对称性就越大。例如,这可以由如yieldstar这样的量测检测系统的颜色对颜色的相依性来提取。

所述实施例还可以使用下列方面进行描述:

1.一种基于针对所述图案化过程而估计的局部电场来调整所述图案化过程的模型中的目标特征的方法,所述方法包括:

利用硬件计算机系统获得所关注的掩模叠层区域,所述掩模叠层区域具有与电磁波通过所关注的掩模叠层区域的传播相关联的一个或更多个特性,所关注的掩模叠层区域包括所述目标特征;

利用所述硬件计算机系统基于与电磁波通过所关注的掩模叠层区域的传播相关联的一个或更多个特性来估计局部电场,所述局部电场是针对所关注的掩模叠层区域的邻近于所述目标特征的一部分而估计的;以及

由所述硬件计算机系统基于所述估计的局部电场来调整所述目标特征。

2.根据方面1所述的方法,其中,基于估计的局部电场来调整所述目标特征包括:由所述硬件计算机系统确定估计的局部电场在所述图案化过程的蚀刻期间对所述目标特征产生的效果,以及由所述硬件计算机系统基于蚀刻期间对目标特征的估计影响来调整所述目标特征。

3.根据方面2所述的方法,其中,确定估计的局部电场对所述目标特征产生的效果包括:由所述硬件计算机系统确定所关注的掩模叠层区域的邻近于所述目标特征的部分中的导电部件上的图像电荷,和/或由所述硬件计算机系统求解泊松方程以确定所述局部电场。

4.根据方面1至3中任一项所述的方法,其中所述目标特征是量测目标设计。

5.根据方面4所述的方法,还包括:由硬件计算机系统迭代地重复估计所述局部电场和调整所述目标特征一次或更多次,以增强所述量测目标设计。

6.根据方面5所述的方法,还包括:利用所述硬件计算机系统增强所述量测目标设计,以减少在所述图案化过程的光致抗蚀剂显影之后所测量(显影后检查-adi)的重叠与蚀刻之后所测量(蚀刻后检查-aei)的重叠之间的估计差异。

7.根据方面6所述的方法,其中adi与aei之间的差异是重叠惩罚(adi–aei)。

8.根据方面7所述的方法,还包括:利用所述硬件计算机系统来确定针对aei重叠测量的校正;和/或基于增强的量测目标设计来确定所述aei重叠测量。

9.根据方面5至8中任一项所述的方法,其中,调整所述目标特征一次或更多次以增强所述量测目标设计包括以下的一个或更多个:由所述硬件计算机系统调整所述量测目标相对于掩模布局设计中的其它特征的放置;或由所述硬件计算机系统添加一个或更多个虚拟特征至所述量测目标设计。

10.根据方面1至9中任一项所述的方法,其中,与电磁波通过所关注的掩模叠层区域的传播相关联的一个或更多个特性包括叠层特性,所述叠层特性包括层性质、叠层设计规则、或层集成要求中的一个或更多个。

11.根据方面1至10中任一项所述的方法,其中与电磁波通过所关注的掩模叠层区域的传播相关联的一个或更多个特性包括量测目标设计特性,所述量测目标设计特性包括顶部光栅设计、对比度、或底部光栅设计中的一个或更多个。

12.根据方面1至3中任一项所述的方法,其中所述目标特征是掩模布局设计。

13.根据方面12所述的方法,还包括:由所述硬件计算机系统迭代地重复估计所述局部电场;和调整所述目标特征一次或更多次,以增强所述掩模布局设计。

14.根据方面13所述的方法,其中,调整所述目标特征一次或更多次以增强所述掩模布局设计包括以下的一个或更多个:由所述硬件计算机系统调整所述掩模布局设计中的特征放置;或由硬件计算机系统添加一个或更多个虚拟特征至所述掩模布局设计。

15.根据方面14所述的方法,其中,调整所述目标特征一次或更多次以增强所述掩模布局设计包括:(1)由所述硬件计算机系统确定边缘放置,(2)由所述硬件计算机系统基于边缘放置确定估计的局部电场在图案化过程的蚀刻期间对所述掩模布局设计产生的效果,以及(3)由所述硬件计算机系统根据基于边缘放置而估计的效果来调整所述掩模布局设计。

16.根据方面12至15中任一项所述的方法,其中与电磁波通过所关注的掩模叠层区域的传播相关联的一个或更多个特性包括叠层特性,所述叠层特性包括层性质、叠层设计规则、或层集成要求中的一个或更多个。

17.根据方面12至16中任一项所述的方法,其中,与电磁波通过所述所关注的掩模叠层区域的传播相关联的一个或更多个特性包括掩模布局设计特性,所述掩模布局设计特性包括包括特性的相对于彼此的布置、各单独层的导电部分彼此的邻近性,所关注的所述掩模叠层区域的所述图形化过程的模型中的晶片的边缘和/或中心的部位。

18.根据方面1至17中任一项所述的方法,还包括:由所述硬件计算机系统输出关于估计的局部电场和/或所估计局部电场的对所述目标特征产生的效果的指示,以供所述用户审查;由所述硬件计算机系统接收来自用户的指示对用户期望的目标特征的调整的信息的录入和/或选择;由所述硬件计算机系统基于估计的局部电场和所需的调整来调整所述目标特征。

19.根据方面1至18中任一项所述的方法,还包括:由硬件计算机系统基于估计的局部电场来调整所述目标特征,以促成三维量测。

20.一种计算机程序产品,包括非暂时性计算机可读介质,所述非暂时性计算机可读介质中记录有在由计算机执行时实施根据方面1至19中任一项所述的方法的指令。

图12是图示可以辅助实施本文中所公开的方法、流程或系统的计算机系统100的框图。计算机系统100包括用于通信信息的总线102或其它通信机构,和与总线102耦接以用于处理信息的处理器104(或多个处理器104和105)。计算机系统100还包括耦接至总线102以用于储存待由处理器104执行的信息和指令的主存储器106,诸如随机存取存储器(ram)或其它动态储存装置。主存储器106还可以用于在待由处理器104执行的指令的执行期间储存暂时性变量或其它中间信息。计算机系统100还包括耦接至总线102以用于储存用于处理器104的静态信息和指令的只读存储器(rom)108或其它静态储存装置。设置诸如磁盘或光盘的储存装置110,且将该储存装置耦接至总线102以用于储存信息和指令。

计算机系统100可以经由总线102耦接至用于向计算机使用者显示信息的显示器112,诸如阴极射线管(crt)或平板显示器或触控面板显示器。包括字母数字键和其它键的输入装置114耦接至总线102以用于将信息和命令选择通信至处理器104。另一类型的使用者输入装置是光标控制器116(诸如鼠标、轨迹球或光标方向键),用于将方向信息和命令选择通信至处理器104且用于控制显示器112上的光标移动。这种输入装置典型地在两个轴线(第一轴线(例如x)和第二轴线(例如y))上具有两个自由度,这允许所述装置指定平面中的位置。触摸面板(屏)显示器也可以用作输入装置。

根据一个实施例,本文描述的一个或更多个方法的部分可以由计算机系统100响应于处理器104执行包含在主存储器106中的一个或更多个指令的一个或更多个序列而被执行。这样的指令可以被从另一计算机可读介质(诸如储存装置110)读取到主存储器106中。包含在主存储器106中的指令的序列的执行使得处理器104执行本文描述的过程步骤。在多处理布置中的一个或更多个处理器也可以被用于执行包含在主存储器106中的指令的序列。在可替代的实施例中,硬接线电路可以用于替代软件指令或与软件指令结合。因此,本文的描述不限于硬件电路和软件的任何特定的组合。

本文中使用的术语“计算机可读介质”是指参与向处理器104提供指令以供执行的任何介质。这样的介质可以采用很多形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质包括例如光盘或磁盘,诸如储存装置110。易失性介质包括动态存储器,诸如主存储器106。传输介质包括同轴电缆、铜线和光纤,包括包含总线102的电线。传输介质还可以采用声波或光波的形式,诸如在射频(rf)和红外(ir)数据通信期间生成的声波或光波。常见形式的计算机可读介质包括例如软盘、柔性盘、硬盘、磁带、任何其它磁性介质、cd-rom、dvd、任何其它光学介质、穿孔卡、纸带、具有孔图案的任何其它物理介质、ram、prom和eprom、flash-eprom、任何其它存储器芯片或盒、如下文中所述的载波、或计算机可以从其进行读取的任何其它介质。

各种形式的计算机可读介质可以涉及将一个或更多个指令的一个或更多个序列传送到处理器104以供执行。例如,指令最初可以承载在远程计算机的磁盘上。远程计算机可以将指令加载到其动态存储器中,并且使用调制解调器在电话线上发送指令。计算机系统100本地的调制解调器可以在电话线上接收数据并且使用红外发射器将数据转换成红外信号。耦接到总线102的红外检测器可以接收红外信号中承载的数据并且将数据放置在总线102上。总线102将数据传送到主存储器106,处理器104从主存储器106检索并且执行指令。由主存储器106接收的指令可以可选地在由处理器104执行之前或之后储存在储存装置110上。

计算机系统100还可以包括耦接到总线102的通信接口118。通信接口118提供耦接到网络链路120的双向数据通信,所述网络链路连接到本地网络122。例如,通信接口118可以是用于提供与相应类型的电话线的数据通信连接的综合业务数字网(isdn)卡或调制解调器。作为另一示例,通信接口118可以是用于提供与兼容lan的数据通信连接的局域网(lan)卡。还可以实施无线链路。在任何这样的实施方式中,通信接口118发送和接收承载表示各种类型的信息的数字数据流的电信号、电磁信号或光信号。

网络链路120典型地通过一个或更多个网络提供到其它数据装置的数据通信。例如,网络链路120可以通过本地网络122提供到主计算机124或到由因特网服务提供商(isp)126操作的数据设备的连接。isp126又通过现在通常称为“因特网”128的全球分组数据通信网络提供数据通信服务。本地网络122和因特网628两者都使用承载数字数据流的电信号、电磁信号或光信号。通过各种网络的信号和在网络链路120上并且通过通信接口118的信号(其将数字数据传送到计算机系统100和从计算机系统100传送数字数据)是输送信息的载波的示例性形式。

计算机系统100可以通过网络、网络链路120和通信接口118发送消息和接收数据,包括程序代码。在因特网示例中,服务器130可以通过因特网128、isp126、本地网络122和通信接口118传输用于应用程序的所请求的代码。例如,一个这样的下载的应用可以提供本文中所描述的方法的所有部分。所接收的代码可以在被接收时由处理器104执行,和/或储存在储存装置110或其它非易失性储存器中以供稍后执行。以这种方式,计算机系统100可以获取呈载波的形式的应用代码。

图13示意性地描绘了可以与本文所描述技术结合而使用的示例性光刻投影设备。所述设备包括:

-照射系统il,所述照射系统il用于调节辐射束b。在这种特定情况下,照射系统还包括辐射源so;

-第一物体台(例如图案形成装置台)mt,所述第一物体台具有用于保持图案形成装置ma(例如,掩模台)的图案形成装置保持器并连接到用于相对于物件ps来准确地定位图案形成装置的第一定位器;

-第二物体台(衬底台)wt,所述第二物体台具有用于保持衬底w(例如涂覆有抗蚀剂的硅晶片)的衬底保持器并连接到用于相对于物件ps来准确地定位衬底的第二定位器;

-投影系统(“透镜”)ps(例如,折射型、反射型或反射折射型光学系统),所述投影系统用于将图案形成装置ma的被辐射的部分成像到衬底w的目标部分c(例如包括一个或更多个管芯)上。

如本文所描绘的,所述设备属于透射类型(例如,采用透射型图案形成装置)。然而,一般而言,它可以属于反射类型(例如,采用反射型图案形成装置)。所述设备可以采用与经典掩模不同种类的图案形成装置;示例包括可编程反射镜阵列或lcd矩阵。

源so(例如汞灯或准分子激光、激光产生等离子体(lpp)euv源)产生辐射束。例如,这个束直接地或在已横穿诸如扩束器ex之类的调节装置之后馈送至照射系统(照射器)il中。照射器il可以包括调整装置ad,用于设定束中的强度分布的外部径向范围和/或内部径向范围(通常分别被称作σ-外部和σ-内部)。另外,照射器il通常会包括各种其它部件,诸如积光器in和聚光器co。这样,照射于图案形成装置ma上的束b在其横截面中具有期望的均匀性和强度分布。

关于图13,应注意,虽然源so可以在光刻投影设备的外壳内(这经常是当源so为例如汞灯时的情况),但它也可以远离光刻投影设备,它所产生的辐射束被引导到该设备中(例如,借助于适当的定向反射镜);后一情形经常是当源so为准分子激光(例如,基于krf、arf或f2激光作用)时的情况。

束pb随后被截断于被保持于图案形成装置台mt上的图案形成装置ma。在已横穿图案形成装置ma的情况下,束pb穿过透镜pl,该透镜pl将所述束b聚焦到衬底w的目标部分c上。借助于第二定位装置(和干涉测量装置if),可以准确地移动衬底台wt,例如,以便将不同目标部分c定位在束pb的路径中。类似地,第一定位装置可以用于例如在从图案形成装置库机械地获取图案形成装置ma之后或在扫描期间相对于束b的路径来准确地定位图案形成装置ma。通常,将借助于没有被明确地描绘的长行程模块(粗定位)和短行程模块(精定位)来实现物体台mt、wt的移动。然而,在步进器(与步进扫描工具相反)的情况下,图案形成装置台mt可以仅连接到短行程致动器,或者可以是固定的。

所描绘的工具可以用于两种不同的模式中:

-在步进模式下,将图案形成装置台mt保持基本静止,并且将整个图案形成装置图像一次投影(即,单次“闪光”)到目标部分c上。然后,使衬底台wt在x和/或y方向上移位,以使得不同的目标部分c可以被束pb辐射;

-在扫描模式下,除了单次“闪光”中不曝光给定目标部分c之外,基本上适用于相同的情形。可替代地,图案形成装置台mt能够在给定方向(所谓的“扫描方向”,例如y方向)上以速率v移动,以使得投影束b在图案形成装置图像上进行扫描;同时,衬底台wt以速率v=mv在相同或相反方向上同时移动,其中,m是透镜pl的放大率(典型地m=1/4或1/5)。这样,可以在不必对分辨率进行折衷的情况下曝光相对大的目标部分c。

图14更详细地示出设备1000,包括源收集器模块so、照射系统il以及投影系统ps。源收集器模块so构造和布置成将真空环境维持在源收集器模块so的围封结构220中。发射euv辐射的等离子体210可以由放电产生等离子体源形成。euv辐射可以通过气体或蒸汽产生,例如氙气、锂蒸汽或锡蒸汽,其中产生非常热的等离子体210以发射在电磁光谱的euv范围内的辐射。例如,通过引起至少部分地电离的等离子体的放电而产生非常的等离子体210。为了有效产生辐射,可能需要为例如分压为10pa的xe、li、sn蒸汽或任何其它适当的气体或蒸汽。在实施例中,提供被激发的锡(sn)的等离子体以产生euv辐射。

由热等离子体210发射的辐射经由定位于源腔室211中的开口中或后方的可选的气体阻挡件或污染物阱230(在一些情况下,也被称作污染物阻挡件或箔片阱)而从源腔室211传递到收集器腔室212中。污染物阱230可以包括通道结构。污染物阱230也可以包括气体阻挡件,或气体阻挡件与通道结构的组合。如本领域中已知的,本文中进一步示出的污染物阱或污染物阻挡件230至少包括通道结构。

收集器腔室211可以包括可以是所谓的掠入射收集器的辐射收集器co。辐射收集器co具有上游辐射收集器侧251和下游辐射收集器侧252。横穿收集器co的辐射可以由光栅光谱滤光器240反射,然后沿着点划线“o”所指示的光轴而聚焦在虚源点if处。虚源点if通常被称作中间焦点,并且源收集器模块被布置成使得中间焦点if位于围封结构220中的开口221处或附近。虚源点if是辐射发射等离子体210的图像。

随后,辐射横穿照射系统il,该照射系统il可以包括琢面场反射镜装置22和琢面光瞳反射镜装置24,该琢面场反射镜装置22和琢面光瞳反射镜装置24被布置成提供在图案形成装置ma处具有期望的角分布的辐射束21,以及在图案形成装置ma处具有期望的均匀性的辐射强度。在辐射束21在由支撑结构mt保持的图案形成装置ma处反射之后,形成图案化的束26,并且通过投影系统ps将图案化的束26经由反射元件28、30而成像到由衬底台wt保持的衬底w上。

在照射光学器件单元il和投影系统ps中通常可以存在比示出的元件更多的元件。依赖于光刻设备的类型,可以可选地呈现光栅光谱滤光器240。此外,可以存在比图中示出的反射镜更多的反射镜,例如在投影系统ps中可以存在有在图20中示出的元件以外的1-6个额外的反射元件。

如图14所示的收集器光学器件co被描绘为具有掠入射反射器253、254和255的嵌套式收集器,仅作为收集器(或收集器反射镜)的示例。掠入射反射器253、254和255设置成围绕光轴o轴对称,并且这种类型的收集器光学器件co可以与经常被称作dpp源的放电产生等离子体源组合使用。

替代地,源收集器模块so可以是如图15所示的lpp辐射系统的一部分。激光器la布置成将激光能量沉积到诸如氙(xe)、锡(sn)或锂(li)的燃料中,从而产生具有几十电子伏特的电子温度的高度电离的等离子体210。在这些离子的去激发和再结合或复合期间产生的高能辐射从等离子体发射,由近正入射收集器光学器件co收集,并且聚焦到围封结构220中的开口221上。

本文中所公开的构思可以模拟或以数学方法对用于使亚波长特征成像的任何通用的成像系统进行建模,并且尤其可以与能够产生越来越短的波长的新兴成像技术一起使用。已经处于使用中的新兴技术包括能够通过使用arf激光器来产生193nm波长并且甚至能够通过使用氟激光器来产生157nm波长的极紫外(euv)、duv光刻术。此外,euv光刻术能够通过使用同步加速器或通过利用高能电子来撞击材料(固体或等离子体)产生5nm至20nm范围内的波长,以便产生该范围内的光子。

虽然本文公开的构思可以用于在衬底(诸如硅晶片)上成像,但是应当理解,所公开的构思可以与任何类型的光刻成像系统一起使用,例如用于在除了硅晶片之外的衬底上成像的光刻成像系统。

上文的描述旨在是示例性的而不是限制性的。因此,本领域的技术人员将明白,在不背离下面阐述的权利要求书的范围的情况下,可以对所描述的发明进行修改。

当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1