MEMS器件、器件和MEMS热传感器的制造方法与流程

文档序号:20275286发布日期:2020-04-03 19:34阅读:366来源:国知局
MEMS器件、器件和MEMS热传感器的制造方法与流程

本发明的实施例涉及mems器件、器件和mems热传感器的制造方法。



背景技术:

微电子机械系统(mems)用于各种传感器器件,诸如加速计、压力传感器、热传感器和位置传感器。mems传感器器件的操作可以基于电容感测技术,电容感测技术将感测元件的机械运动转换成电信号。机械运动可以响应于由mems传感器器件的感测元件接收或施加至mems传感器器件的感测元件的输入。电信号可以用于测量由感测元件感测的输入的特性。



技术实现要素:

本发明的实施例提供了一种制造微电子机械系统(mems)热传感器的方法,包括:在衬底上形成分别具有第一电极指和第二电极指的第一感测电极和第二感测电极,其中,所述第一电极指和所述第二电极指以交叉指型配置形成并且悬置于所述衬底之上;形成在一对第一电极指之间具有矩形横截面的图案化层;改性所述图案化层以在所述一对第一电极指之间具有弯曲横截面;在改性图案化层上形成弯曲的感测元件以耦合到所述一对第一电极指;以及去除所述改性图案化层。

本发明的另一实施例提供了一种制造器件的方法,包括:在衬底上形成分别具有可移动的第一电极指和第二电极指的第一感测电极和第二感测电极,其中,所述第一电极指和所述第二电极指以交叉指型配置形成;在一对第一电极指之间形成具有弯曲横截面的图案化层;在所述图案化层上形成弯曲的支撑元件以耦合到所述一对第一电极指;在所述弯曲的支撑元件上形成弯曲的感测元件;以及去除所述图案化层。

本发明的又一实施例提供了一种微电子机械系统(mems)器件,包括:具有多个第一电极指的第一感测电极;具有多个第二电极指的第二感测电极,其中,所述多个第一电极指和所述多个第二电极指以交叉指型配置布置并且悬置于衬底上方;弯曲的感测元件,耦合到所述多个第一电极指的相邻的第一电极指,其中,所述弯曲的感测元件配置为响应于由所述弯曲的感测元件感测的温度而移动所述相邻的第一电极指并且改变所述第一感测电极和所述第二感测电极之间的电容;以及电路,耦合到所述第一感测电极和所述第二感测电极,并且配置为基于所述第一感测电极和所述第二感测电极之间的所述电容来测量所述温度。

附图说明

当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该强调,根据工业中的标准实践,各个部件未按比例绘制并且仅用于说明的目的。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。

图1a至图1c分别示出了根据一些实施例的mems热传感器的等距视图、截面图和顶视图。

图2至图3示出了根据一些实施例的具有测量接触焊盘的各种配置的mems热传感器的顶视图。

图4至图5示出了根据一些实施例的具有电极指的各种结构的mems热传感器的顶视图。

图6至图14示出了根据一些实施例的感测元件的各种顶视图。

图15至图20示出了根据一些实施例的具有感测元件的各种配置的mems热传感器的截面图。

图21至图28示出了根据一些实施例的具有感测元件和电极指的各种配置的mems热传感器的等距视图。

图29示出了根据一些实施例的mems热传感器的截面图。

图30示出了根据一些实施例的mems热传感器的顶视图。

图31是根据一些实施例的用于制造mems热传感器的方法的流程图。

图32a至图44a示出了根据一些实施例的mems热传感器在其制造工艺的各个阶段的等距视图。

图32b至图44b示出了根据一些实施例的mems热传感器在其制造工艺的各个阶段的截面图。

现在将参考附图描述示例性实施例。在附图中,相同的附图标记通常表示相同的、功能相似的和/或结构相似的元件。

具体实施方式

以下公开内容提供了许多用于实现本发明的不同特征不同的实施例或实例。下面描述了组件和布置的具体实施例或实例以简化本发明。当然这些仅是实例而不旨在限制。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。如本文使用的,在第二部件上形成第一部件是指形成与第二部件直接接触的第一部件。此外,本发明可以在各个示例中重复参考数字和/或字母。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。

此外,为了便于描述,本文中可以使用诸如“在…下方”、“在…下面”、“下部”、“在…上面”、“上部”等的空间关系术语,以描述如图中所示的一个元件或部件与另一元件或部件的关系。除了图中所示的方位外,空间关系术语旨在包括器件在使用或操作工艺中的不同方位。装置可以以其它方式定位(旋转90度或在其它方位),并且在本文中使用的空间关系描述符可以同样地作相应地解释。

应注意,说明书中对“一个实施例”、“实施例”、“示例实施例”、“示例性”等的引用指的是所描述的实施例可以包括特定部件、结构或特性,但是每个实施例可以不必包括特定的部件、结构或特性。而且,这些短语不一定指的是同一实施例。此外,当结合实施例描述特定部件、结构或特性时,无论是否明确描述,结合其他实施例实现这样的部件、结构或特性将在本领域技术人员的知识范围内。

应理解,本文中的措辞或术语是出于描述而非限制的目的,使得本说明书的术语或措辞将由相关领域的技术人员鉴于本文的教导解释。

如本文所使用的,术语“约”表示可以基于与主题半导体器件相关联的特定技术节点而变化的给定量的值。在一些实施例中,基于特定技术节点,术语“约”可以指示在例如值的5-30%内变化的给定量的值(例如,值的±5%、±10%、±20%或±30%)。

如本文所使用的,术语“基本上”表示可以基于与主题半导体器件相关联的特定技术节点而变化的给定量的值。在一些实施例中,基于特定技术节点,术语“基本上”可以指示在例如目标(或预期)值的±5%内变化的给定量的值。

本发明提供了mems热传感器的示例结构以及用于制造mems热传感器的示例方法。mems热传感器可配置为基于电容感测技术来测量温度。在一些实施例中,mems热传感器可以具有一对电容感测电极,其中交叉指型电极指连接到弯曲感测元件。感测元件可以配置为感测温度并在电极指中产生机械运动,这可以导致感测电极之间的电容变化。基于感测电极之间的电容,mems热传感器可以测量由感测元件感测的温度。

与具有非弯曲(例如,平坦)感测元件的传感器相比,本文公开的感测元件的弯曲配置实现电极指的双向机械运动,并且因此响应于由弯曲感测元件感测的温度,在横向方向上产生更宽范围的机械运动。在一些实施例中,与具有非弯曲感测元件的传感器相比,耦合到弯曲感测元件的每个电极指的机械运动范围增加约10%至约50%(例如,约15%、约20%、约25%、约30%、约35%、约40%或约45%)。在一些实施例中,耦合到弯曲感测元件的每个电极指的机械运动范围可以是约1nm至约100μm(例如,约5nm、约10nm、约20nm、约50nm、约100nm、约200nm、约500nm、约700nm、约900nm、约1μm、约5μm、约7μm、约10μm、约20μm、约50μm、约70μm或约90μm)。

更宽范围的机械运动可以在感测电极之间产生更宽范围的电容变化。结果,与具有非弯曲感测元件的传感器相比,mems热传感器可以基于感测电极之间的电容来感测和测量更宽范围的温度,并且对温度变化更敏感。在一些实施例中,与具有非弯曲感测元件的传感器相比,mems热传感器的灵敏度增加约10%至约60%(例如,约15%、约20%、约25%、约30%、约35%、约40%、约45%、约50%或约55%)。

图1a示出了根据一些实施例的mems热传感器100的等距视图。图1b示出了沿图1a的mems热传感器100的线a-a的截面图。图1c示出了根据一些实施例的mems热传感器100的顶视图。mems热传感器100可以包括在衬底102上彼此面对地定位的梳形电容感测电极104和106。感测电极104可以具有从电极条110延伸并悬置于衬底102之上的多个电极指108。多个电极指108在基本垂直于电极条110延伸的方向(例如,x轴)的方向(例如,y轴)上延伸。类似于感测电极104,感测电极106可以具有从电极条114延伸并悬置于衬底102之上的多个电极指112。多个电极指112在基本垂直于电极条114延伸的方向(例如,x轴)的方向(例如,y轴)上延伸。多个电极指108可以与多个电极指112以交叉指型配置的方式定位。

衬底102和/或感测电极104和106可以是半导体材料,诸如但不限于硅。在一些实施例中,衬底102和/或感测电极104和106可以包括元素半导体,诸如硅或锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟;合金半导体,包括硅锗碳化物、硅锗、磷砷化镓、磷化镓铟、砷化镓铟、磷化镓铟砷、砷化铝铟和/或砷化铝镓;或它们的组合。

在一些实施例中,感测电极104和106可以包括金属材料(例如,铝(al)、铜(cu)、铝铜(alcu)、铁(fe)、镍(ni)、锡(sn)、铜镍(cuni)、铬(cr)、铂(pt)、钨(w)、钛(ti)、钽(ta)、铑(rh)、铂铑(ptrh)、氮化钽(tan)、镍硅化物(nisi)、钴(co)、硅化钴(cosi)、银(ag)、碳化钽(tac)、钛铝(tial)、金属合金和/或它们的组合)、金属合金或掺杂或未掺杂的多晶硅。在一些实施例中,感测电极104和106可以包括导电材料,该导电材料在室温下(例如,约20℃、约23℃或约25℃)的电阻率范围为约1×10-15ωm至约1×1015ωm(例如,约1×10-12ωm、约1×10-10ωm、约1×10-9ωm、约1×10-8ωm、约1×10-7ωm、约1×10-6ωm、约1×10-5ωm、约1×10-4ωm、约1×10-2ωm、约1×10ωm、约1×105ωm或1×1010ωm)。

参考图1a和图1c,电极条110和114中的每一个可以具有沿着x轴(例如,长度)的横向尺寸l1,横向尺寸l1的范围从约1μm到约5mm(例如,约10μm、约50μm、约100μm、250μm、约500μm、约750μm、约1mm、约2mm或约4mm)。每个电极条110和114的部分(相应的电极指108和112从该部分延伸)可以具有沿着y轴(例如,宽度)的横向尺寸w1(图1c中示出),横向尺寸w1的范围从约100nm至约100μm(例如,约200nm、约500nm、约700nm、约1μm、约10μm、约20μm、约50μm、约70μm或约90μm)。在一些实施例中,可以通过直接在电极条110和114上使用测量探针来测量感测电极104和106之间的电容。

在一些实施例中,电极条110和114可以分别具有接触区域110a和114a,接触区域110a和114a具有测量接触焊盘124和126,配置为测量感测电极110和114之间的电容。测量接触焊盘124和126可以具有分别位于接触区域110a和114a上(例如,直接在其上)的第一导电层124a和126a;或者如图1a至图1b所示,它们可以具有分别插入在第一导电层124a和126a与接触区域110a和114a之间的附加的第一缓冲层124b和126b。第一缓冲层124b和126b可以包括氧化物或氮化物材料。

在一些实施例中,代替接触区域110a和114a定位在电极指108的阵列的相对端上(如图1a和图1c所示),接触区域110a和114a可以彼此面对地定位,如图2所示,图2示出了具有可选配置接触区域110a和114a的mems热传感器100的顶视图。在一些实施例中,测量接触焊盘124和126可以分别设置在电极条110和114上,而没有接触区域110a和114a,如图3所示,图3示出了具有可选配置的测量接触焊盘124和126的mems热传感器100的顶视图。

参考图1b至图1c,电极指108和112中的每一个可以分别具有沿着x轴(例如,宽度)的横向尺寸w2和w3,横向尺寸w2和w3的范围从约100nm到约100μm(例如,约200nm、约500nm、约700nm、约1μm、约5μm、约10μm、约20μm、约50μm、约70μm或约90μm)。在一些实施例中,尺寸w2和w3可以彼此相同或不同。在一些实施例中,电极指108和112中的每一个可以具有沿y轴(例如,长度)的横向尺寸l2,其范围为约100nm至约100μm(例如,约200nm、约500nm、约700nm、约1μm、约5μm、约10μm、约20μm、约50μm、约70μm或约90μm)。在一些实施例中,电极指108可以具有与电极指112不同的长度。电极指108和112中的每一个可以具有沿着z轴(例如,高度)的垂直尺寸h1,垂直尺寸h1的范围从约100nm到约300μm(例如,约200nm、约500nm、约700nm、约1μm、约5μm、约10μm、约20μm、约50μm、约70μm、约90μm、约100μm、约200μm或约250μm)。

在一些实施例中,相邻电极指108和112可以沿x轴彼此间隔开横向距离s1,横向距离s1的范围为约100nm至约100μm(例如,约200nm、约500nm、约700nm、约1μm、约5μm、约10μm、约20μm、约50μm、约70μm或约90μm)。电极指108和112之间的间隔可以包括介电气体(诸如空气或氮气)或介电液体(诸如矿物油、聚合丁烯、蓖麻油或硅油)。

参考图1b,电极指108和112可悬置于衬底102之上,电极指108的底面108s与衬底102的顶面102a之间具有垂直尺寸d1,并且电极指112的底面112b和顶面102a之间具有垂直距离d2。在一些实施例中,距离d1和d2可以彼此相等或不同。距离d1和d2可以沿着z轴并且可以在约500nm至约500μm的范围内(例如,约600nm、约700nm、约1μm、约5μm、约10μm、约20μm、约50μm、约70μm、约90μm、约100μm、约200μm、约250μm、约300μm或约400μm)。小于500nm的距离d1和d2可以将来自衬底102和电极指108和112之间的寄生电容的影响引入感测电极104和106之间的电容测量。电容测量中的寄生电容效应可导致mems热传感器100中的测量误差。等于或大于500nm的距离d1和d2可有助于减少或防止衬底102与电极指108和112之间的静电引力,静电引力可引起它们之间的静摩擦。

从静态和摩擦一词导出的词语静摩擦可以指的是mems器件(例如,mems热传感器100)中的可移动元件(例如,电极指108和112)接触并且卡至周围的部件的不期望的结果。静摩擦现象可以在mems器件的操作期间和/或在器件的制造期间发生。在mems器件的制造期间发生的各种环境因素和工艺可能引起静摩擦。例如,在mems器件的制造期间的等离子体工艺可以使电荷积聚在导电表面(例如,电极指108和112或衬底102)上,并且在可移动元件和周围的部件之间引起静电引力。

参考图1c,电极指108和112可以沿着y轴分别与电极条114和110间隔开横向距离d3和d4。在一些实施例中,距离d3和d4可以彼此相等或不同。距离d3和d4可以在约500nm至约500μm的范围内(例如,约600nm、约700nm、约1μm、约5μm、约10μm、约20μm、约50μm、约70μm、约90μm、约100μm、约200μm、约250μm、约300μm或约400μm)。等于或大于500nm的距离d3和d4可以有助于减少或防止静电引力,并且因此减少或防止电极指108和112与电极条114和110之间的静摩擦。类似于距离d1和d2,小于500nm的距离d3和d4可以分别将电极指108和112与电极条114和110之间的寄生电容的影响引入电容测量中,导致mems热传感器100中的测量误差。

在一些实施例中,代替或除了如图1c所示的具有沿xy平面的矩形轮廓的电极指108和112。mems热传感器100可以具有如图4所示的沿xy平面的梯形轮廓的电极指408和412和/或如图5所示的沿xy平面的梯形轮廓的电极指508和512。图4至图5示出了具有电极指108和112的可选结构的mems热传感器100的顶视图。电极指108和112的讨论分别适用于电极指408和412和/或508和512,除非另有说明。电极指408和412的梯形轮廓沿y轴从固定端408b和412b朝向自由端408a和412a逐渐变细。相反,电极指508和512的梯形轮廓沿着y轴从自由端508a和512a朝向固定端508b和512b逐渐变细。

在一些实施例中,每个电极指408可以具有沿着x轴的自由端408a的尺寸与沿着x轴的固定端408b的尺寸的比率r1,比率r1的范围从约1:1.1到约1:5(例如,约1:1.2、约1:1.5、约1:1.7、约1:2、约1:2.2、约1:2.5、约1:3、约1:3.5、约1:4、约1:4.2、约1:4.5或约1:4.7)。每个电极指412可以具有沿着x轴的自由端412a的尺寸与沿x轴的固定端412b的尺寸的比率r2,比率r2等于或大于比率r1。在一些实施例中,每个电极指508可以具有沿着x轴的固定端508b的尺寸与沿着x轴的自由端508a的尺寸的比率r3,比率r3的范围从约1:1.1到约1:5(例如,约1:1.2、约1:1.5、约1:1.7、约1:2、约1:2.2、约1:2.5、约1:3、约1:3.5、约1:4、约1:4.2、约1:4.5或约1:4.7)。每个电极指512可以具有沿着x轴的固定端512b的尺寸与沿着x轴的自由端512a的尺寸的比率r4,比率r4等于或大于比率r3。

参考图1a至图1c,mems热传感器100还可以包括感测元件116。每个感测元件116可以设置在支撑元件120上并且通过支撑元件120和焊盘层122耦合到一对相邻的电极指108。焊盘层122可以包括分别与第一导电层124a和第一缓冲层124b的材料成分相似的第二导电层122a和第二缓冲层122b。感测元件116可以配置为基于从可以放置mems热传感器100的物体和/或环境感测的温度来膨胀(例如,线性膨胀)或收缩。感测元件116的线性膨胀可以减小它们的曲率和/或增加它们的曲率半径(即,使感测元件116更少弯曲;未示出),而感测元件116的线性收缩可以增加它们的曲率和/或减小它们的曲率半径(即,使感测元件116更加弯曲;未示出)。

在线性膨胀的情况下,每个线性膨胀的感测元件116可以在其耦合到的一对电极指108上沿着x轴在方向128a和128b上施加剪切力或侧向力。这种剪切力或侧向力可以使一对电极指108彼此远离地弯曲,如图1b至图1c中的虚线所示。在线性收缩的情况下,每个线性收缩的感测元件116可以在一对电极指108上沿着x轴在方向128c和128d上施加剪切力或侧向力,使得该对电极指108朝向彼此弯曲,如图1b至图1c中的实线黑线所示。因此,与具有非弯曲感测元件的传感器(这可以引起每个电极指在一个方向上的机械运动)相比,感测元件116的弯曲配置可以实现每个电极指108的双向机械运动,导致更宽范围的机械运动。虽然图1b至图1c中的虚线和实线黑线示出了弯曲电极指108具有线性侧面轮廓,但是根据一些实施例,弯曲后的电极指108可以具有弯曲侧面轮廓。

参考图1b至图1c,由于剪切力或横向力,每个电极指108从其初始位置的最大位移m可以被定义为电极指108的机械运动范围。在一些实施例中,耦合到相应的感测元件116的每个电极指108的机械运动范围可以从约1nm至约100μm(例如,约5nm、约10nm、约20nm、约50nm、约100nm、约200nm、约500nm、约700nm、约900nm、约1μm、约5μm、约7μm、约10μm、约20μm、约50μm、约70μm或约90μm)。在一些实施例中,与具有非弯曲感测元件的传感器相比,耦合到相应的感测元件116的每个电极指108的机械运动范围增加约10%至约50%(例如,约15%、约20%、约25%、约30%、约35%、约40%或45%)。为了电极指108的有效位移,感测元件116可以放置得更靠近自由端108a而不是电极指108的固定端108b。

响应于由感测元件116感测的温度而弯曲电极指108可导致电极指108和112之间的间隔改变,并导致感测电极104和106之间的电容变化。基于电容变化,可以使用耦合到测量接触焊盘124和126的电子电路和/或处理器(未示出)来确定由感测元件116感测的温度。在一些实施例中,电子电路和/或处理器可以是mems热传感器100的部分或可以是耦合到mems热传感器100的外部元件。

参考图1a至图1b,在一些实施例中,每个感测元件116可以包括设置在第二元件132上的第一元件130。第一元件130和第二元件132均可以具有温度敏感材料,它们的热膨胀系数值彼此不同。结果,响应于温度的每个感测元件116的线性膨胀或收缩可以取决于第一元件130和第二元件132上的组合热效应。例如,当第一元件130响应于某些温度比第二元件132膨胀得更少时,感测元件116可以线性膨胀(即,感测元件116可以弯曲得更小),和/或当第一元件130响应于某些温度比第二元件132膨胀得更多时,感测元件116可以线性收缩(即,感测元件116可以更弯曲)。

第一和第二元件130和132可以具有彼此相似或不同的材料成分。在一些实施例中,第一和第二元件130和132可以包括温度敏感材料,其热膨胀系数值范围为约5μm/m-℃至约30μm/m-℃(例如,约6μm/m-℃、约8μm/m-℃、约10μm/m-℃、约12μm/m-℃、约15μm/m-℃、约17μm/m-℃、约20μm/m-℃、约22μm/m-℃、约23μm/m-℃、约25μm/m-℃或约27μm/m-℃)。在第一和第二元件130和132中的材料的热膨胀系数值之间可以存在约0.1μm/m-℃至约25μm/m-℃的差异(例如,约0.2μm/m-℃、约0.5μm/m-℃、约0.7μm/m-℃、约0.9μm/m-℃、约1μm/m-℃、约1.5μm/m-℃、约3μm/m-℃、约5μm/m-℃、约7μm/m-℃、约10μm/m-℃、约12μm/m-℃、约15μm/m-℃、约17μm/m-℃、约20μm/m-℃或约23μm/m-℃)。

在一些实施例中,第一和第二元件130和132可以包括金属、金属合金、半导体或它们的组合。在一些实施例中,第一和第二元件130和132可以包括si、ge、al、cu、fe、ni、cr、pt、w、ti、ta、rh、co、ag、sn、alcu、cuni、ptrh、nisi、cosi、tial、铝合金、铜合金、镍合金、不锈钢或它们的组合。在一些实施例中,第一和第二元件130和132的材料可以掺杂有诸如硅、锗、铟、磷、硼、氮或它们的组合的材料。第一和第二元件可以具有彼此不同的掺杂剂材料和掺杂浓度。在一些实施例中,支撑元件120可以具有与第一元件130或第二元件132类似的材料成分和热膨胀系数值。在一些实施例中,支撑元件120可与第一元件130和第二元件132在材料成分上不同并且可以具有热膨胀系数值小于约5μm/m-℃(例如,约4μm/m-℃、约3μm/m-℃、约2μm/m-℃、约1μm/m-℃、约0.5μm/m-℃、约0.2μm/m-℃或约0.1μm/m-℃)或基本上等于零的材料。在一些实施例中,支撑元件120可以具有与第一导电层124a和126a的材料类似的材料。

在室温(例如,约20℃、约23℃或约25℃)下,第一元件130的曲率半径可以等于或大于第二元件132的曲率半径,并且第二元件132的曲率半径可以等于或大于支撑元件120的曲率半径。在一些实施例中,第一和第二元件130和132的每个可以在室温下具有范围从约100nm到约25mm的曲率半径(例如,约200nm、约500nm、约700nm、约1μm、约5μm、约10μm、约20μm、约50μm、约70μm、90μm、约100μm、250μm、约500μm、约750μm、约1mm、约2mm、约4mm、约10mm、约15mm或约20mm)。在一些实施例中,每个感测元件116可以具有设置在第一元件130上的具有温度敏感材料的一个或多个元件。在室温下,一个或多个元件的曲率半径可以大于第一元件130的曲率半径。一个或多个元件的热膨胀系数值可以与第一和/或第二元件130和132的热膨胀系数值不同。

参考图1b,第一和第二元件130和132可以沿着它们的公共中心轴134在它们中心处分别具有厚度130t和132t,该中心轴134基本上平行于z轴。在一些实施例中,厚度130t和132t可以彼此相等或不同,并且可以在约100nm至约10μm的范围内(例如,约200nm、约300nm、约500nm、约700nm、约900nm、约1μm、约2μm、约5μm、约7μm或约9μm)。这样的厚度范围可以帮助第一和第二元件130和132响应于温度变化而比该范围之外的厚度更有效地线性膨胀或收缩,从而产生更灵敏的mems热传感器100。在一些实施例中,第一和第二元件130和132在它们的整个曲率上可以分别具有基本均匀的厚度,诸如厚度130t和132t。在一些实施例中,第一和第二元件130和132在它们的整个曲率上可以具有不均匀的厚度。第一元件130和第二元件132的厚度可以从它们的中心轴134朝向它们的边缘减小,边缘耦合到电极指108。

参考图1c,第一元件130和第二元件132的每个可以具有沿着x轴的横向尺寸l3(例如,长度)和沿着y轴的横向尺寸w4(例如,宽度),每个横向尺寸范围为约100nm至约100μm(例如,约200nm、约500nm、约700nm、约1μm、约5μm、约10μm、约20μm、约50μm、约70μm或约90μm)。在一些实施例中,尺寸l3可以等于或大于尺寸w4。

图6至图14示出了根据一些实施例的第一元件130的不同顶视图。第一元件130可以具有矩形部分,矩形部分在顶视图中具有横向尺寸l3和w4(图6)。在一些实施例中,代替图6的实心矩形部分,第一元件130在其顶视图中可以具有矩形部分(具有横向尺寸l3和w4)以及开口736(图7)、836(图8)、936(图9)、1036(图10)或1136(图11)。开口736可以各自具有沿着x轴的尺寸(小于尺寸l3)和/或沿着y轴的尺寸(小于尺寸w4)并且可以在约100nm至约10μm的范围内(例如,约200nm、约500nm、约700nm、约1μm、约5μm或约7μm)。开口836的每个可以具有小于尺寸l3和/或w4的半径,并且可以在约100nm至约10μm的范围内(例如,约200nm、约500nm、约700nm、约1μm、约5μm或者约7μm)。

在一些实施例中,开口936可各自具有沿x轴的尺寸小于尺寸l3,并且可在约100nm至约90μm的范围内(例如,约200nm、约500nm、约700nm、约1μm、约5μm、约10μm、约20μm、约50μm或约70μm)。开口936可以各自具有沿y轴的尺寸(小于尺寸w4),并且可以在约100nm至约5μm的范围内(例如,约200nm、约500nm、约700nm、约1μm、约2μm或约4μm)。在一些实施例中,开口1036可各自具有沿x轴的尺寸(等于尺寸l3),并且可在约100nm至约100μm的范围内(例如,约200nm、约500nm、约700nm、约1μm、约5μm、约10μm、约20μm、约50μm、约70μm或约90μm)。开口1036可以各自具有沿y轴的尺寸(小于尺寸w4),并且可以在约100nm至约5μm的范围内(例如,约200nm、约500nm、约700nm、约1μm、约2μm或约4μm)。

参考图12,在一些实施例中,第一元件130在其顶视图中可以具有第一和第二矩形部分1238a-1238b以及连接第一和第二矩形部分1238a-1238b的弯曲部分1240。第一和第二矩形部分1238a-1238b可以沿x轴彼此分隔开尺寸l4,尺寸l4小于尺寸l3并且在从约100nm到约80μm的范围(例如,约200nm、约500nm、约700nm、约1μm、约5μm、约10μm、约20μm、约50μm或约70μm)。参考图13至图14,根据一些实施例,代替弯曲部分1240,第一元件130可以具有对角连接第一和第二矩形部分1238a-1238b的一个或两个杆1242。与图6的结构相比,利用具有图7至图14中所示的顶视图之一的结构,第一元件130的线性膨胀或收缩可以更容易。根据一些实施例,为了便于线性膨胀或收缩,第一元件130可以具有图7至图14中所示的顶视图之一的结构,以用于热膨胀系数值大的材料,诸如大于10μm/m-℃的热膨胀系数值(例如,约6μm/m-℃、约8μm/m-℃、约10μm/m-℃、约12μm/m-℃、约15μm/m-℃、约17μm/m-℃、约20μm/m-℃、约22μm/m-℃、约23μm/m-℃、约25μm/m℃或约27μm/m℃)。第二元件132可以具有与上面参考图6至图14所讨论的第一元件130的顶视图类似的顶视图。

图15至图20示出了根据一些实施例的具有不同配置的感测元件116的mems热传感器100的截面图。根据一些实施例,感测元件116和支撑元件120可以耦合到焊盘层122,以使它们的凸面朝向电极指108(图15中所示),而不是它们的凹面面向电极指108的(图1a至图1b所示)。根据一些实施例,感测元件116和/或第二元件132可以通过支撑元件120(如图16至图17所示)耦合到焊盘层122,而不是物理连接到焊盘层122的顶面(如图1a至图1b所示)。支撑元件120沿x轴的横向尺寸w5可以小于(如图16所示)或等于(如图17所示)焊盘层122的沿x轴的横向尺寸w6。在一些实施例中,焊盘层122的横向尺寸w6可以等于(如图15至图17所示)或大于(如图18所示)电极指108的沿x轴的横向尺寸w2。在一些实施例中,感测元件116可以在没有第二缓冲层122b的情况下耦合到电极指108(如图19所示)。在一些实施例中,感测元件116可以物理连接到电极指108而没有支撑元件120和焊盘层122,如图20所示。

图21至图28示出了根据一些实施例的mems热传感器100可以具有感测元件116和电极指108和112的其他配置,而不是图1a中所示的配置。如图21和图22所示,mems热传感器100可以具有耦合到一对电极指108的一个或多个感测元件116。具有感测元件116的相邻对的电极指108可以在它们之间具有一个(如图22所示)或更多(未示出)电极指112。mems热传感器100可以具有耦合到一对电极指108的感测元件116,其中两个感测元件116可以耦合到公共电极指108,如图23和图24所示。图24还示出了感测元件116可以耦合到一对电极指112。在一些实施例中,mems热传感器100可以在每对电极指108之间具有多于一个的电极指112(如图25至图26所示)和/或在每对电极指112之间具有多于一个电极指108(如图26至图28所示)。图27至图28进一步示出了感测元件116可以耦合到电极指108和112对。

参考图29至图30,根据一些实施例,mems热传感器100可以附加地或可选地包括位于衬底102和感测电极104和106的表面上的涂层2944。涂层2944可以配置成减少或防止衬底102和电极指108和112之间和/或电极指108和112之间的静摩擦。这种静摩擦现象可以阻碍或甚至阻止mems热传感器100的正常操作,并且可以用涂层2944减轻。

涂层2944可以具有范围从约10nm至约1μm(例如,约20nm、约50nm、约80nm、约100nm、约200nm、约500nm、约700nm或约900nm)的厚度2944t。在一些实施例中,涂层2944可以包括一个或多个自组装单层或有机基材料。在一些实施例中,一个或多个自组装单层可以包括硅烷分子和烷基链或氟化烷基链。在一些实施例中,一个或多个自组装单层可以包括十八烷基三氯硅烷(ots;c18h37cl3si)、全氟癸基三氯硅烷(fdts;c10h4f17cl3si)、全氟辛基三氯硅烷(fots;c8h4cl3f13si)、四氢辛基甲基二氯硅烷(fomds;c9h7cl2f13si)、四氢辛基三乙氧基硅烷(fotes;c14h19f13o3si)或它们的组合。

图31是根据一些实施例的用于制造mems热传感器100的示例方法3100的流程图。出于说明的目的,将参考用于制造mems热传感器100的示例性制造工艺来描述图3100中所示的操作,如图32a至图44a和图32b至图44b中所示。根据一些实施例,图32a至图44a是mems热传感器100在其制造的各个阶段的等距视图,并且图32b至图44b是沿图32a至图44a的各个结构的线a-a的截面图。可以根据具体应用以不同顺序执行操作或不执行操作。应当注意,方法3100可能不会产生完整的mems热传感器100。因此,应当理解,可以在方法3100之前、期间和之后提供额外的工艺,并且可以仅在此简要描述一些其他工艺。图32a至图44a和图32b至图44b中具有与图1a至图1c中的元件相同注释的元件如上所述。

在操作3105中,在衬底中形成凹槽。例如,如图32a至图32b所示,凹槽3246可以形成在衬底102中。凹槽3246可以具有沿着z轴的垂直尺寸d1(例如,深度)和沿着x轴的横向尺寸l5(例如,长度),垂直尺寸d1的范围从约500nm到约500μm(例如,约600nm、约700nm、约1μm、约5μm、约10μm、约20μm、约50μm、约70μm、约90μm、约100μm、约200μm、约250μm、约300μm或约400μm),并且横向尺寸l5在约100nm至约5mm的范围内(例如,约500nm、约1μm、约10μm、约50μm、约100μm、250μm、约500μm、约750μm、约1mm、约2mm或约4mm)。

凹槽3246的形成可以包括在衬底102上沉积硬掩模层(未示出),图案化衬底102上的硬掩模层以形成图案化的硬掩模层,以及通过图案化的硬掩模层蚀刻衬底102。在一些实施例中,硬掩模层可以是包括例如使用热氧化工艺形成的氧化硅的薄膜。在一些实施例中,可以使用例如低压化学气相沉积(lpcvd)或等离子体增强cvd(pecvd)由氮化硅形成硬掩模层。可以通过光刻图案化硬掩模层,光刻包括光刻胶涂覆(例如,旋涂)、软烘烤、掩模对准、曝光、曝光后烘烤、显影光刻胶、干燥(例如,硬烘烤)或它们的组合。可以使用例如干蚀刻工艺、湿蚀刻工艺或它们的组合来执行通过图案化的硬掩模层对衬底102的蚀刻。干蚀刻工艺可以使用基于氯或氟的蚀刻剂的反应离子蚀刻。

参考图31,在操作3110中,在凹槽中形成第一牺牲层。例如,如图33a至图33b所示,第一牺牲层3348可以形成在凹槽3246内。第一牺牲层3348可以包括绝缘材料,诸如氧化物、氮化物或它们的组合。在一些实施例中,绝缘材料可以包括例如氧化硅、氮化硅、氮氧化硅、氟化物掺杂的硅酸盐玻璃(fsg)或低k介电材料。第一牺牲层3348的形成可以包括在图32a的结构上沉积绝缘材料并从衬底102的顶面102s去除多余的绝缘材料。在一些实施例中,可以使用可流动的化学气相沉积(fcvd)工艺、高密度等离子体(hdp)cvd工艺(使用硅烷(sih4)和氧气(o2)作为反应前体)或亚大气压cvd(sacvd)工艺来沉积绝缘材料,其中工艺气体可以包括四乙氧基硅烷(teos)和/或臭氧(o3)。

在沉积绝缘材料之后,可以使用例如化学机械抛光(cmp)工艺或回蚀刻工艺从顶面102s去除多余的绝缘材料。cmp工艺可以包括平坦化沉积的绝缘材料,使得第一牺牲层3348的顶面3348s可以与衬底102的顶面102s基本上共面。回蚀刻工艺可以包括一个或多个干蚀刻工艺、湿蚀刻工艺和/或等离子体蚀刻工艺以去除多余的绝缘材料并且使顶面3348s和102s基本上共面。

在一些实施例中,一个或多个干蚀刻工艺可以包括使用具有压力范围从约1毫托到约5毫托的气体混合物的等离子体干蚀刻,气体混合物具有八氟环丁烷(c4f8);氩(ar);氧(o2)和氦(he);氟仿(chf3)和he;四氟化碳(cf4);二氟甲烷(ch2f2);氯(cl2)和o2;溴化氢(hbr)、o2和he;或它们的组合。在一些实施例中,一个或多个湿蚀刻工艺可以包括使用稀释的氢氟酸(dhf)处理、过氧化铵混合物(apm)、硫酸过氧化物混合物(spm)、热去离子水(di水)或它们的组合。在一些实施例中,一个或多个湿蚀刻工艺可以包括使用作为蚀刻剂的氨(nh3)和氢氟酸(hf)以及惰性气体,诸如ar、氙(xe)、he或它们的组合。在一些实施例中,在蚀刻工艺中使用的hf和nh3的流速可各自为约10sccm至约100sccm(例如,约20sccm、30sccm或40sccm)。在一些实施例中,蚀刻工艺可以在约5毫托至约100毫托(例如,约20毫托、约30毫托或约40毫托)的压力和约50℃至约120℃的高温下执行。

参考图31,在操作3115中,在衬底102上形成一对感测电极。例如,如图34a至图34b和图35a至图35b所示,在衬底102上形成感测电极104和106可以包括将晶圆3450接合到图33a的结构的顶面102s,接着是晶圆减薄工艺以减薄晶圆3450并且图案化减薄的晶圆3450以形成感测电极104和106。晶圆3450可以是半导体材料,诸如但不限于硅。在一些实施例中,晶圆3450可以包括元素半导体,诸如硅或锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟;合金半导体,包括硅锗碳化物、硅锗、磷砷化镓、磷化镓铟、砷化镓铟、磷化镓铟砷、砷化铝铟和/或砷化铝镓;或它们的组合。

在一些实施例中,晶圆3450可以包括金属材料(例如,铝(al)、铜(cu)、铝铜(alcu)、铁(fe)、镍(ni)、锡(sn)、铜镍(cuni)、铬(cr)、铂(pt)、钨(w)、钛(ti)、钽(ta)、铑(rh)、铂铑(ptrh)、氮化钽(tan)、硅化镍(nisi)、钴(co)、硅化钴(cosi)、银(ag)、碳化钽(tac)、钛铝(tial)、金属合金和/或它们的组合)、金属合金、或掺杂或未掺杂的多晶硅。在一些实施例中,晶圆3450可以包括导电或半导体材料,其在室温下(例如,约20℃、约23℃或约25℃)的电阻率范围为约1×10-15ωm至约1×1015ωm(例如,约1×10-12ωm、约1×10-10ωm、约1×10-9ωm、约1×10-8ωm、约1×10-7ωm、约1×10-6ωm、约1×10-5ωm、约1×10-4ωm、约1×10-2ωm、约1×10ωm、约1×105ωm或1×1010ωm)。

可以使用晶圆接合工艺将晶圆3450接合到顶面102s,诸如熔融接合、阳极接合、共晶接合或合适的晶圆接合工艺。在熔融接合的情况下,接合工艺可以包括使晶圆3450和顶面102s物理接触,然后是在晶圆3450和顶面102s之间形成接合(例如,si/si接合、氧化物/氧化物接合或氧化物/si接合)的退火工艺。退火工艺可以在约200℃至约480℃的温度下进行(例如,约210℃、约220℃、约250℃、约280℃、约300℃、约320℃、约340℃、约350℃、约400℃或约450℃)。熔融结合工艺还可以包括在退火工艺之前或期间在晶圆3450的顶面3450s上施加力一段时间。力的范围可以从约0.1n到约5n(例如,约0.5n、约2n、约3n或约4n),并且该时间段可以在约10秒到约10分钟的范围内(例如,约30秒、约1分钟、约2分钟、约5分钟或约7分钟)。在各个实施例中,晶圆3450可以接合到顶面102s,其中多晶硅层作为晶圆3450和顶面102s之间的界面3452处的接合界面。

晶圆接合工艺之后可以进行晶圆减薄工艺,以使接合的晶圆3450减薄至沿着z轴(例如,高度)的垂直尺寸h1,垂直尺寸h1从约100nm到约300μm的范围(例如,约200nm、约500nm、约700nm、约1μm、约5μm、约10μm、约20μm、约50μm、约70μm、约90μm、约100μm、约200μm或约250μm)。晶圆减薄工艺可以包括在接合的晶圆3450的顶面3450上执行的研磨工艺、抛光工艺和/或蚀刻工艺(例如,湿蚀刻或干蚀刻)。

研磨工艺可以包括用研磨工具研磨接合的晶圆3450,持续时间为约30秒至约10分钟(例如,约40秒、约1分钟、约2分钟、约5分钟或约7分钟)。抛光工艺可以包括在接合的晶圆3450的顶面3450s上执行cmp工艺。蚀刻工艺可以包括干蚀刻(例如,等离子蚀刻)或湿蚀刻工艺。湿蚀刻工艺可以包括用具有氢氟酸(hf)、硝酸(hno3)或它们的组合的蚀刻剂蚀刻顶面3450s。在一些实施例中,蚀刻剂可以包括浓度为约50%至约90%(例如,约60%、约70%或约80%)的hno3。在一些实施例中,蚀刻剂可以包括与氢氟酸(hf)混合的浓度为约10%至约50%(例如,约20%、约30%或约40%)的hno3,hf的浓度范围为约10%至约50%(例如,约20%、约30%或约40%)。在一些实施例中,通过使用研磨工艺、接着是抛光工艺以及然后蚀刻工艺,可以减薄接合的晶圆3450。

晶圆减薄工艺之后可以进行图案化工艺以形成如图35a至图35b所示的感测电极104和106。图案化工艺可以包括使用光刻在减薄的晶圆3450(未示出)上形成图案化的光刻胶,并去除未被图案化的光刻胶保护的减薄的晶圆3450的晶圆部分,以形成感测电极104和106。晶圆部分可通过干蚀刻工艺(例如,反应离子蚀刻)或湿蚀刻工艺去除。在晶圆部分的蚀刻期间,第一牺牲层3348可以用作蚀刻停止层。

参考图31,在操作3120中,在第一牺牲层上并且在该对感测电极之间的空间内形成第二牺牲层。例如,如图36a至图36b所示,第二牺牲层3656可以形成在第一牺牲层3348上和感测电极104和105之间的空间3554(图35a至图35b中示出)内。第二牺牲层3656可以包括绝缘材料,诸如氧化物、氮化物或它们的组合。在一些实施例中,绝缘材料可以包括例如氧化硅、氮化硅、氮氧化硅、氟化物掺杂的硅酸盐玻璃(fsg)或低k介电材料。在一些实施例中,第二牺牲层3656的材料可以类似于第一牺牲层3348的材料。第二牺牲层3656的形成可以包括在图35a的结构上沉积绝缘材料并分别从感应电极104和106的顶面104s和106s去除多余的绝缘材料。在一些实施例中,可以使用可流动化学气相沉积(fcvd)工艺、高密度等离子体(hdp)cvd工艺(使用硅烷(sih4)和氧气(o2)作为反应前体)或亚大气压cvd(sacvd)工艺来沉积绝缘材料,其中工艺气体可以包括四乙氧基硅烷(teos)和/或臭氧(o3)。

在绝缘材料的沉积之后,可以使用例如化学机械抛光(cmp)工艺或回蚀刻工艺从顶面104s和106s去除多余的绝缘材料。cmp工艺可以包括平坦化沉积的绝缘材料,使得第二牺牲层3656的顶面3656s可以与顶面104s和106s基本上共面。回蚀刻工艺可以包括一个或多个干蚀刻工艺、湿蚀刻工艺和/或等离子蚀刻工艺,以去除多余的绝缘材料并且使顶面3656s、104s和106s基本上共面。在一些实施例中,用于去除第二牺牲层3656的多余绝缘材料的一个或多个干或湿蚀刻工艺可类似于上文针对第一牺牲层3348所述的一个或多个干或湿蚀刻工艺。

参考图31,在操作3125中,在感测电极上形成缓冲层。例如,如图38a至图38b所示,第一缓冲层124b和126b分别形成在感测电极104和106的接触区域110a和114a上,并且第二缓冲层122b形成在感测电极104的电极指108上。缓冲层122b、124b和126b的形成可以包括在图36a的结构上毯式沉积缓冲材料(例如,氧化物、氮化物或它们的组合)的层3758(图37a至图37b中所示),然后进行图案化工艺。层3758可以通过化学气相沉积(cvd)、低压cvd(lpcvd)、等离子体增强cvd(pecvd)、原子层沉积(ald)、等离子体增强ald(peald)、物理气相沉积(pvd)、电子束蒸发或其他合适的工艺来沉积。在一些实施例中,层3758可以具有沿z轴(例如,厚度)的垂直尺寸3758t,垂直尺寸3758t的范围从约100nm到约10μm(例如,约200nm、约300nm、约500nm、约700nm、约900nm、约1μm、约2μm、约5μm、约7μm或约9μm)。图案化工艺可以包括使用光刻在层3758上形成图案化的光刻胶(未示出),并去除未被图案化的光刻胶保护的层3758的部分,以形成缓冲层122b、124b和126b。可以通过干蚀刻工艺(例如,反应离子蚀刻)或湿蚀刻工艺来去除层3758的部分。可以使用具有甲烷(ch4)、氧气(o2)、六氟化硫(sf6)、氩气(ar)、氢气(h2)、氯气(cl2)、三氯化硼(bcl3)、三氟化氮(nf3)、溴化氢(hbr)、硅烷或它们的组合的气体混合物来执行干蚀刻工艺。在一些实施例中,当mems热传感器100可以具有测量接触焊盘124和126(不包括相应的第一缓冲层124b和126b)并且具有包括第二缓冲层122b的焊盘层122时,操作3125可以是可选的。

参考图31,在操作3130中,在其中一个感测电极上形成弯曲的感测元件和支撑元件层。例如,如图43a至图43b所示,感测元件116和支撑元件120形成在第二导电层122a上,第二导电层122a形成在感测电极104的电极指108上。感测元件116和支撑元件120的形成可以包括(i)形成图案化层3960(如图39a至图39b所示),(ii)在图案化层3960上执行热处理以形成具有弯曲横截面的改性图案化层3960*(图40a至图40b中所示),(iii)在改性图案化层3960*上毯式沉积支撑元件层120*(如图41a至图41b所示),(iv)在支撑元件层120*上毯式沉积第二元件层132*(如图41a至图41b所示),(v)在第二元件层132*上毯式沉积第一元件层130*(如图41a至图41b所示),(vi)图案化第一和第二元件层130*和132*以形成感测元件116的相应的第一和第二元件130和132(图42a至图42b中所示)和(vii)图案化支撑元件层120*以形成支撑元件120、相应的测量接触焊盘124和126的第一导电层124a和126a以及焊盘层122的第二导电层122a(如图43a至图43b所示)。

参考图39a至图39b,图案化层3960的形成可以包括在图38a的结构上毯式沉积有机材料层并使用光刻和蚀刻工艺(例如,干或湿蚀刻)图案化有机材料层。湿蚀刻工艺可以包括用酸蚀刻,诸如硫酸(h2so4)。干蚀刻工艺可以包括用氯或氟基气体的反应离子蚀刻。在一些实施例中,干蚀刻工艺可以包括使用具有氢、氮和氩的气体混合物。气体混合物可以具有约5%至约20%的氢。氢气的流速可以为约20sccm至约100sccm,氮气和氩气的流速可以为约100sccm至约400sccm。蚀刻工艺可以在约10℃至约90℃的温度范围内,在约15毫托至约100毫托的压力下进行约10秒至约90秒的时间。在一些实施例中,图案化层3960可以具有沿z轴的垂直尺寸(例如,高度),垂直尺寸的范围为约100nm至约10μm(例如,约200nm、约300nm、约500nm、约700nm、约900nm、约1μm、约2μm、约5μm、约7μm或约9μm)。在一些实施例中,有机材料可以包括光敏聚合物材料,诸如聚酰亚胺或合适的光刻胶。

图案化层3960的形成之后可以对图案化层3960进行热处理,以形成图40a至图40b中所示的改性图案化层3960*。在热处理之前,图案化层3960可以具有矩形横截面(图30b中所示),其可以在热处理之后被修改为改性图案化层3960*中的弯曲横截面(图40b中所示)。改性图案化层3960*的弯曲顶面3960s*可用于图案化在后续工艺中形成的感测元件116和支撑元件120的弯曲形状。在一些实施例中,弯曲顶面3960s*可各自在室温下具有范围从约100nm至约25mm的曲率半径(例如,约200nm、约500nm、约700nm、约1μm、约5μm、约10μm、约20μm、约50μm、约70μm,90μm、约100μm、250μm、约500μm、约750μm、约1mm、约2mm、约4mm、约10mm、约15mm或约20mm)。

热处理可以包括在具有空气、氮气、氧气或它们的组合的气体环境中在约30℃至约500℃的范围的温度下(例如,约40℃、约50℃、约80℃、约100℃、约120℃、约150℃、约200℃、约250℃、约300℃、约350℃、约400℃或约450℃)退火图案化层3960。退火工艺可以进行约1分钟至约3小时(例如,约2分钟、约10分钟、约30分钟、约1小时、约1.5小时或约2小时)的时间段。

参考图41a至图41b,改性图案层3960*的形成之后可以在图40a的结构上毯式沉积支撑元件层120*,然后在支撑元件层120*上毯式沉积第二元件层132*,然后在第二元件层132*上毯式沉积第一元件层130*。支撑元件层120*、第一元件层130*和第二元件层132*的沉积均可以使用合适的共形沉积工艺来执行,诸如cvd、pecvd、ald、peald、电子束蒸发、电镀、化学镀或它们的组合。如图41a至图41b所示,支撑元件层120*、第一元件层130*和第二元件层132*均可基本上与其下面的形貌共形。垂直尺寸120t*、130t*和132t*可各自为约100nm至约10μm(例如,约200nm、约300nm、约500nm、约700nm、约900nm、约1μm、约2μm、约5μm、约7μm或约9μm)。垂直尺寸120t*、130t*和132t*可以彼此相同或不同。

支撑元件层120*、第一元件层130*和第二元件层132*在其材料成分上可以彼此相似或不同。在一些实施例中,支撑元件层120*、第一元件层130*和第二元件层132*均可以包括金属、金属合金、半导体或它们的组合。在一些实施例中,支撑元件层120*、第二元件层132*和第一元件层130*可各自包括si、ge、al、cu、fe、ni、cr、pt、w、ti、ta、rh、co、ag、sn、alcu、cuni、ptrh、nisi、cosi、tial、铝合金、铜合金、镍合金、不锈钢或它们的组合。在一些实施例中,支撑元件层120*、第一元件层130*和/或第二元件层132*的材料可以掺杂有诸如硅、锗、铟、磷、硼、氮或它们的组合的材料,并且可以具有彼此不同的掺杂剂材料和掺杂浓度。

在一些实施例中,第一和第二元件层130*和132*可以包括温度敏感材料,其热膨胀系数值范围为约5μm/m-℃至约30μm/m-℃(例如,约6μm/m-℃、约8μm/m-℃、约10μm/m-℃、约12μm/m-℃、约15μm/m-℃、约17μm/m-℃、约20μm/m-℃、约22μm/m-℃、约23μm/m-℃、约25μm/m-℃或约27μm/m-℃)。在第一和第二元件层130*和132*中的材料的热膨胀系数值之间可以存在约0.1μm/m-℃至约25μm/m-℃的差异(例如,约0.2μm/m-℃、约0.5μm/m-℃、约0.7μm/m-℃、约0.9μm/m-℃、约1μm/m-℃、约1.5μm/m-℃、约3μm/m-℃、约5μm/m-℃、约7μm/m-℃、约10μm/m-℃、约12μm/m-℃、约15μm/m-℃、约17μm/m-℃、约20μm/m-℃或约23μm/m-℃)。在一些实施例中,支撑元件层120*可以具有与第一元件层130*或第二元件层132*类似的材料成分和热膨胀系数值。在一些实施例中,支撑元件层120*可以在材料成分上与第一和第二元件层130*和132*不同,并且可以具有热膨胀系数值小于约5μm/m-℃或基本上等于零的材料(例如,约4μm/m-℃、约3μm/m-℃、约2μm/m-℃、约1μm/m-℃、约0.5μm/m-℃、约0.2μm/m-℃或约0.1μm/m-℃)。

第一元件层130*的毯式沉积之后可以图案化第一和第二元件层130*和132*,以形成感测元件116的第一和第二元件130和132,如图42a至图42b所示。第一元件层130*的图案化可以包括使用光刻在第一元件层130*上形成图案化的光刻胶,并选择性地去除未被图案化的光刻胶保护的第一元件层130*的部分以形成第一元件130。在一些实施例中,在第一元件130的形成之后,可以选择性地去除未被上面的第一元件130覆盖的第二元件层132*的部分,以形成第二元件132。可选地,在一些实施例中,第一元件130的形成之后可以使用光刻对覆盖第一元件130的光刻胶进行图案化,并选择性地去除未被上面的第一元件130覆盖的第二元件层132*的部分,第一元件130由上面的图案化的光刻胶保护。当第一和第二元件层130*和132*的材料相似和/或第一和第二元件层130*和132*的材料之间的蚀刻选择性在约1和约10之间(例如,约2、约5或约8)时,这种形成第二元件132的可选方法可用于防止第一元件层130*的过蚀刻。

第一和第二元件层130*和132*的部分的去除工艺可各自包括干或湿蚀刻工艺。在一些实施例中,干蚀刻工艺可以包括使用具有ch4、o2、sf6、ar、h2、cl2、bcl3、nf3、hbr、硅烷或它们的组合的气体混合物;溴化氢(hbr)、o2、氟仿(chf3)和cl2;hbr、o2、cl2和/或氮气(n2)在约45毫托至约60毫托的压力下;hbr、o2、cl2、n2和氩气(ar)在约45毫托至约60毫托的压力下;或hbr、o2、cl2和n2在约45毫托至约60毫托的压力下。在一些实施例中,干蚀刻工艺可以包括使用具有bcl3和cl2的气体混合物,其中cl2的流速为约0至200sccm之间,bcl3的流速为约10至200sccm之间。干蚀刻工艺可以在约50至2000瓦特之间的rf功率和约5至200毫托之间的压力下进行。在一些实施例中,湿蚀刻工艺可以包括使用具有磷酸、硝酸、乙酸、稀氢氟酸、盐酸、硫酸或它们的组合的蚀刻剂。用于去除第一和第二元件层130*和132*的部分的蚀刻工艺参数可以彼此相似或不同。

第二元件132的形成之后可以图案化支撑元件层120*以同时形成支撑元件120、相应测量接触焊盘124和126的第一导电层124a和126a以及焊盘层122的第二导电层122a。支撑元件层120*的图案化可以包括使用光刻在支撑元件层120*上形成图案化的光刻胶,并选择性地去除未被图案化的光刻胶保护的支撑元件层120*的部分以形成支撑元件120、第一导电层124a和126a以及第二导电层122a,如图43a至图43b所示。支撑元件层120*的部分的去除工艺可以包括干或湿蚀刻工艺。在一些实施例中,干蚀刻工艺可以包括使用具有ch4、o2、sf6、ar、h2、cl2、bcl3、nf3、hbr、硅烷或它们的组合的气体混合物。在一些实施例中,湿蚀刻工艺可以包括使用具有磷酸、硝酸、乙酸、稀氢氟酸、盐酸、硫酸或它们的组合的蚀刻剂。

在一些实施例中,第一和第二元件130和132以及支撑元件120可以以与上述制造步骤顺序不同的制造步骤顺序形成。例如,代替形成第一元件130,接着是第二元件132,然后形成支撑元件120,可以首先形成支撑元件以及第一导电层124a和126a以及第二导电层122a,然后形成第二元件132,然后形成第一元件130。在该示例情况下,在形成改性图案化层3960*之后的制造步骤的顺序可以包括(i)在图40a的结构上毯式沉积支撑元件层120*,(ii)使用光刻和蚀刻工艺图案化支撑元件层120*以同时形成支撑元件120、第一导电层124a和126a以及焊盘层122的第二导电层122a,(iii)第二元件层132*的毯式沉积,(iv)使用光刻和蚀刻工艺图案化第二元件层132*以形成第二元件132,(v)第一元件层130*的毯式沉积,以及(vi)使用光刻和蚀刻工艺图案化第一元件层130*以形成第一元件130。

在另一可选实施例中,可首先形成支撑元件以及第一导电层124a和126a以及第二导电层122a,然后形成第一元件130,然后形成第二元件132。在这种情况下,在形成改性图案化层3960*之后的制造步骤顺序可以包括(i)在图40a的结构上毯式沉积支撑元件层120*,(ii)使用光刻和蚀刻工艺对支撑元件层120*进行图案化,以同时形成支撑元件120、第一导电层124a和126a以及焊盘层122的第二导电层122a,(iii)第二元件层132*的毯式沉积,(iv)在第二元件层132*上毯式沉积第一元件层130*,(v)使用光刻和蚀刻工艺图案化第一元件层130*以形成第一元件130,以及(v)选择性地去除未被上面的第一元件130覆盖的第二元件层132*的部分以形成第二元件132。

参考图31,在操作3135中,去除改性图案化层以及第一和第二牺牲层。例如,如图44a至图44b所示,在形成感测元件116、支撑元件120、测量接触焊盘124和126以及焊盘层122之后,可以去除改性图案化层3960*以及第一和第二牺牲层3348和3656。在一些实施例中,可以使用干蚀刻工艺(例如,反应离子蚀刻)或湿蚀刻工艺(例如,具有硫酸的蚀刻剂)来去除改性图案化层3960*。在改性图案化层3960*的去除之后,可以使用干蚀刻工艺(例如,反应离子蚀刻)或湿蚀刻工艺(例如,具有稀释的氢氟酸的蚀刻剂)去除第一和第二牺牲层3348和3656。

另外或任选地,在一些实施例中,在去除第一和第二牺牲层3348和3656之后,可以在图44a的结构上形成涂层2944(图2至图3中所示)。在一些实施例中,涂层2944可以包括一个或多个自组装单层或有机基材料。在一些实施例中,涂层2944可以通过气相工艺(例如,分子气相沉积(mvd)工艺)或用于沉积自组装单层或有机基材料的合适沉积工艺形成。

本发明提供了mems热传感器的示例结构以及用于制造mems热传感器的示例方法。mems热传感器可配置为基于电容感测技术测量温度。在一些实施例中,mems热传感器可以具有一对电容感测电极(例如,感测电极104和106),以及耦合到弯曲感测元件(例如,感测元件116)的交叉指型电极指(例如,电极指108)。感测元件可以配置为感测温度并在电极指中生成机械运动,这可以导致感测电极的电容变化。基于感测电极的电容,mems热传感器可以测量由感测元件感测的温度。

与具有非弯曲(例如,平坦)感测元件的传感器相比,本文公开的感测元件(例如,感测元件116)的弯曲配置使得电极指(例如,电极指108)的双向机械运动成为可能,结果,响应于由弯曲的感测元件(例如,感测元件116)感测的温度,在横向方向上生成更宽范围的机械运动。在一些实施例中,与具有非弯曲感测元件的传感器相比,耦合到弯曲感测元件的每个电极指的机械运动范围增加约10%至约50%(例如,约15%、约20%、约25%、约30%、约35%、约40%或45%)。在一些实施例中,耦合到弯曲感测元件的每个电极指的机械运动范围可以是约1nm至约10μm(例如,约5nm、约10nm、约20nm、约50nm、约100nm、约200nm、约500nm、约700nm、约900nm、约1μm、约5μm、约7μm或约9μm)。

更宽范围的机械运动可以在感测电极(例如,感测电极104和106)的电容中生成更宽范围的变化。结果,与具有非弯曲感测元件的传感器相比,mems热传感器(例如,mems热传感器100)可以基于感测电极的电容来感测和测量更宽范围的温度并且对温度变化更敏感。在一些实施例中,与具有非弯曲感测元件的传感器相比,mems热传感器的灵敏度增加约10%至约60%(例如,约15%、约20%、约25%、约30%、约35%、约40%、约45%、约50%或约55%)。

在一些实施例中,一种制造微电子机械系统(mems)热传感器的方法包括:在衬底上形成分别具有第一电极指和第二电极指的第一感测电极和第二感测电极,以及形成在一对第一电极指之间具有矩形横截面的图案化层。第一电极指和第二电极指以交叉指型配置形成并且悬置于衬底之上。该方法还包括改性图案化层以在该对第一电极指之间具有弯曲横截面,在改性图案化层上形成弯曲的感测元件以耦合到该对第一电极指,以及去除改性图案化层。

在上述方法中,其中,改性所述图案化层包括退火所述图案化层。

在上述方法中,其中,形成所述第一感测电极和所述第二感测电极包括:在所述衬底中形成凹槽;将晶圆接合到具有所述凹槽的所述衬底的侧面上的凸起区域;以及减薄接合的晶圆。

在上述方法中,其中,形成所述第一感测电极和所述第二感测电极包括:在所述衬底中形成凹槽;将晶圆接合到具有所述凹槽的所述衬底的侧面上的凸起区域;以及减薄接合的晶圆,还包括:在所述凹槽中形成第一牺牲层;在所述第一牺牲层上与所述第一电极指和所述第二电极指之间的空间内形成第二牺牲层;以及在去除所述改性图案化层之后去除所述第一牺牲层和所述第二牺牲层。

在上述方法中,还包括在所述弯曲的感测元件和所述改性图案化层之间形成支撑元件。

在上述方法中,其中,形成所述弯曲的感测元件包括:形成所述弯曲的感测元件的第一元件;以及在形成所述第一元件之后形成所述弯曲的感测元件的第二元件,其中,所述第一元件形成在所述第二元件上。

在上述方法中,其中,形成所述弯曲的感测元件包括:形成所述弯曲的感测元件的第一元件;以及在形成所述第一元件之后形成所述弯曲的感测元件的第二元件,其中,所述第一元件形成在所述第二元件上,还包括在形成所述第二元件之后形成支撑元件。

在上述方法中,其中,形成所述弯曲的感测元件包括:在所述改性图案化层上沉积第二元件层;在所述第二元件层上沉积第一元件层;图案化所述第一元件层以形成所述弯曲的感测元件的第一元件;以及蚀刻所述第二元件层以形成所述弯曲的感测元件的第二元件,其中,所述第一元件形成在所述第二元件上。

在上述方法中,其中,形成所述图案化层包括:沉积聚合物材料层;以及图案化所述聚合物材料层。

在上述方法中,还包括:通过所述弯曲的感测元件的温度敏感材料来感测温度;以及通过响应于感测的温度使所述一对第一电极指弯曲来改变所述第一感测电极和所述第二感测电极之间的电容。

在一些实施例中,一种制造器件的方法包括:在衬底上形成分别具有可移动的第一电极指和第二电极指的第一感测电极和第二感测电极,并在一对第一电极指之间形成具有弯曲横截面的图案化层。第一电极指和第二电极指以交叉指型配置形成。该方法还包括在图案化层上形成弯曲的支撑元件以耦合到该对第一电极指,在弯曲的支撑元件上形成弯曲的感测元件,以及去除改性图案化层。

在上述方法中,其中,形成具有所述弯曲横截面的所述图案化层包括:在所述一对第一电极指之间形成具有矩形横截面的图案层;以及退火具有所述矩形横截面的所述图案化层。

在上述方法中,其中,在所述弯曲的支撑元件上形成所述弯曲的感测元件包括:在所述弯曲的支撑元件上沉积第二元件层;在所述第二元件层上沉积第一元件层;图案化所述第一元件层以形成所述弯曲的感测元件的第一元件;以及蚀刻所述第二元件层以形成所述弯曲的感测元件的第二元件,其中,所述第一元件形成在所述第二元件上。

在上述方法中,其中,在所述弯曲的支撑元件上形成所述弯曲的感测元件包括:在所述弯曲的支撑元件上沉积第二元件层;图案化所述第二元件层以形成所述弯曲的感测元件的第二元件;在所述第二元件上沉积第一元件层;以及图案化所述第一元件层以形成所述弯曲的感测元件的第一元件。

在上述方法中,其中,形成所述第一感测电极和所述第二感测电极包括:在所述衬底中形成凹槽;将晶圆接合到具有所述凹槽的所述衬底的侧面上的凸起区域;以及减薄接合的晶圆。

在上述方法中,还包括在所述弯曲的支撑元件和所述第一电极指之间形成缓冲层。

在一些实施例中,一种微电子机械系统(mems)器件包括:具有多个第一电极指的第一感测电极和具有多个第二电极指的第二感测电极。多个第一电极指和第二电极指以交叉指型配置布置并且悬置于衬底上方。mems器件还包括弯曲的感测元件,耦合到多个第一电极指的相邻的第一电极指。弯曲的感测元件配置为响应于由弯曲的感测元件感测的温度而移动相邻的第一电极指并改变第一感测电极和第二感测电极之间的电容。mems器件还包括耦合到第一感测电极和第二感测电极的电路,该电路配置为基于第一感测电极和第二感测电极之间的电容来测量温度。

在上述mems器件中,其中,所述弯曲的感测元件配置为响应于由所述弯曲的感测元件感测的温度而使所述相邻的第一电极指彼此远离移动。

在上述mems器件中,其中,所述弯曲的感测元件包括:第一元件,具有第一热膨胀系数值;以及第二元件,具有与第一热膨胀系数值不同的第二热膨胀系数。

在上述mems器件中,其中,所述弯曲的感测元件耦合到所述相邻的第一电极指,所述弯曲的感测元件的凸面面向所述相邻的第一电极指。

上面概述了若干实施例的特征,使得本领域人员可以更好地理解本发明的方面。本领域人员应该理解,它们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍实施例相同的目的和/或实现相同优势的其它工艺和结构。本领域技术人员也应该意识到,这种等同构造并且不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中它们可以做出多种变化、替换以及改变。

当前第1页1 2 3 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1