Gps与恒温晶体组合的精确同步系统的制作方法

文档序号:5921661阅读:199来源:国知局
专利名称:Gps与恒温晶体组合的精确同步系统的制作方法
技术领域
本实用新型涉及一种GPS与恒温晶体组合的精确同步系统,特别适合于在山区或森林覆盖区开展同步同步地球物理探测使用。
背景技术
目前,常用的同步技术有GPS同步和恒温晶体振荡器同步。GPS同步利用GPS输出的与UTC精确同步的秒脉冲信号(PPQ同步各工作系统,其优点是同步精度高、没有同步误差累积。缺点是GPS易受地形、地物遮挡,使同步失效。而对于恒温晶体振荡器同步,由于存在频率漂移和标称频率误差,因此存在同步误差累积问题,为了解决此问题,需要经常对钟,给同步工作造成不便。

实用新型内容鉴于上述,本实用新型的目的是提供一种GPS与恒温晶体组合的精确同步系统, 它既可弥补GPS易受遮挡的缺陷,又可有效消除恒温晶体同步的累积误差,避免经常对钟的麻烦,从而提高同步系统的环境适应能力。为此,本实用新型采用以下技术方案一种GPS与恒温晶体组合的精确同步系统,其特征在于包括GPS接收模块,用于产生秒脉冲信号和GPS工作状态信号;恒温晶体振荡器,用于输出频率信号;频率标定与校正电路,其在秒脉冲信号的控制下对恒温晶体振荡器输出的频率进行标定与校正,GPS接收模块产生的秒脉冲信号和恒温晶体振荡器输出的频率信号接入频率标定与校正电路的输入;同步触发信号发生电路,其在GPS工作状态信号的控制下产生同步触发信号,GPS 接收模块产生的秒脉冲信号和频率标定与校正电路输出的校正后的频率信号接入同步触发信号发生电路的输入;同步触发信号发生电路在GPS工作正常时,以秒脉冲信号作为信号源产生同步触发信号;否则以校正后的恒温晶体振荡器输出频率产生同步触发信号。GPS接收模块为授时型GPS接收模块。当GPS工作正常时,在CPLD中用PPS信号连续标定恒温晶体振荡器输出的频率, 计算频率校正码校正恒温晶体振荡器输出的频率,保证用恒温晶体振荡器产生的实时钟与 GPS时钟同步;同时CPLD以PPS作为触发源产生用以同步工作的同步触发信号。当GPS工作不正常时,CPLD停止对恒温晶体振荡器输出频率的标定与校正,以最后获得的频率校正码维持恒温晶体振荡器产生时钟的运行,同时以恒温晶体振荡器产生的时钟为触发源产生用以同步工作的同步触发信号。本实用新型的优点是当GPS工作正常时,以GPS实现高精度时间同步,同时完成对恒温晶体振荡器输出频率的标定、校正与对钟工作;当GPS工作不正常时,以校正和对钟后的恒温晶体振荡器实时钟产生同步触发信号,维持系统的同步工作。从而提高本同步系统的环境适应能力,提高工作效率。

图1为本实用新型的电路原理框图;图2为CPLD模块的功能框图;图3为本实用新型的CPLD工作流程图。
具体实施方式
以下结合附图作进一步说明。本实用新型为一种GPS与恒温晶体组合的精确同步系统,其组成框图请参见图1, 包括授时型GPS模块1、恒温晶体振荡器2、频率标定校正和同步触发信号产生CPLD模块6、 GPS模块天线8。其中GPS模块天线8将接收到的定位卫星发出的授时信号输入到GPS模块1中, GPS模块1在接收到卫星授时信号后,产生严格同步的GPS秒脉冲信号(PPS) 4和GPS工作状态信号3,恒温晶体振荡器2产生恒温晶体振荡器输出频率信号5,CPLD模块6利用PPS 信号对恒温晶体振荡器输出的频率信号进行标定和校正,并根据GPS工作状态信号3的状态,选择合适的触发源产生同步触发信号7。CPLD模块6中包括频率标定与校正电路和同步触发信号发生电路。其中GPS接收模块产生的PPS信号4和恒温晶体振荡器2输出的频率信号5接入频率标定与校正电路的输入。频率标定与校正电路在PPS信号4的控制下对恒温晶体振荡器2 输出的频率信号5进行标定与校正。GPS接收模块产生的PPS信号4和频率标定与校正电路输出的校正后的频率信号接入同步触发信号发生电路的输入。同步触发信号发生电路在GPS工作状态信号3的控下产生用于同步的同步触发信号。同步触发信号发生电路在GPS工作正常时,以秒脉冲信号作为信号源产生同步触发信号;否则以校正后的恒温晶体振荡器输出频率产生同步触发信号。模块6由复杂可编程逻辑阵列(CPLD)实现,内部功能由VHDL语言定义和描述,模块6实现的功能框图如图2所示,框图中各模块实现的功能分别为模块6-1 在GPS秒信号4 (GPSPPS)和状态信号3 (GPSSTS)以及本地恒温晶体输出信号5 (f 16mHz)的控制下,产生频率标定控制信号8、9、10 ;模块6-2 在频率标定控制信号8、9、10的6控制下对本地恒温晶体输出信号5(fl6mHz)的频率进行标定,产生实际频率数据输出信号11 ;模块6_3 在信号5、9、11控制下,产生本地秒脉冲信号12;模块6-4 在信号3、4、12的控制下,产生同步秒脉冲信号7。模块6中各信号的名称和编号分别为信号5 :fl6mHz ;信号4 =GPSPPS ;信号3 GPSSTS ;信号 7 =PPS ;信号 8 =EnCALF ;信号 9 =WrFreq ;信号 10 =ClrCNT ;信号 11 =FreqVal ; 信号 12 =LPPS0各模块的VHDL实现如下模块6-1的VHDL语言实现
4[0029]
library ieee;
use ieee.stdJogic_1164.all; use ieee.numeric_std.all; entity 6-1 is port (
f16mHz : in stdjogic; GPSPPS : in stdjogic; GPSSTS : in stdjogic; EnCALF : out stdjogic; WrFreq : out stdjogic; CIrCNT : out stdjogic
end entity;
architecture rtl of 6-1 is begin
process (f16mHz,GPSPPS) variable cnt
begin
integer range O to 7;
if (GPSPPS = ') then cnt := 0; WrFreq <= '; CIrCNT <= .1.; elsif (rising_edge(f16mHz)) then if (cnt < 7) then
cnt := cnt + 1 ; end if;
if (cnt = 1) then WrFreq <= ';
else
WrFreq <= T; end if;
if (cnt = 3) then CIrCNT <= .0.;
else
CIrCNT <= T;
5end if; end if; end process;
process (GPSPPS,GPSSTS)
variable cnt: integer range 0 to 3;
begin
if (GPSSTS = ·) then cnt := 0; EnCALF <= '; elsif (falling_edge(GPSPPS)) then if (cnt < 3) then
cnt := cnt + 1 ; end if;
if (cnt <= 1) then EnCALF <= .0.;
else
EnCALF <= .1.; end if; end if; end process; end rtl;模块6-2的VHDL语言实现I--1003^
library ieee;
use ieee.std」ogic—1164.all; use ieee.std—logic—unsigned.all; use ieee.std—logic—aritFall; entity 6—2 is port
in std—logic; in std—logic; in std—logic; in std—logic;
out unsigned(23 downo0)
f1o)mHZ EncAl-F wrFre_Q olroNH Frec| /al
);
end entity;
architecture rtl of 6-2 jw
signal Frecl/,>/EF,cnt : unsigned(2 仁Q-ownto 0); begin
process (0lrcNT,f16mHZ) begin
if (clroNT M -0-) then
cnt <= "0000000000000000000000000"; elsif (faLlingledgeiflGmXZ))SCD二 cnt <= cnt + 1 ;
end if; end process; process (WrFreq) begin
if (f^.ling—edge(wrFrecl)) then
Fre_Qv <= cnt; end if; end process;
process (wrFreqmncALF) variable tmp : unsigned(2仁Q-ownto 0); begin
if (risingledge(wrFreq)) then
if (EncALF MO-) then AVEF <= Fre-Qv;
else
tmp := (AVEF + Freqv); AVEF(23 downo0) <= tmp(2 仁Q-oio^ AVEF§ <= -; end if; end if; end process; process (CIrCNT) begin
if (fa …ng—edgeolrcNT)) then
FreqVaI <= AVEF(23 downo0); end if; end process; end rtl;
〔003 滿洚 6-3SVHDL inww 將驾 〔0035:1library ieee;
use ieee.std_logic_1164.all; use ieee.stdJogic_unsigned.all; use ieee.stdJogic_arith.all; entity 6-3 is
port
(FreqV f16mHz WrFreq
in unsigned(23 downto 0); :in stdjogic; :in stdjogic;out stdjogic
)pps end entity;
architecture rtl of 6-3 is begin
process (f16mHz,WrFreq1FreqV)
variable cnt: unsigned(23 downto 0);
begin
if (WrFreq = ') then
cnt :=飞 00000000000000000000000"; pps <= Τ; elsif (rising_edge(f16mHz)) then if cnt < FreqV then cnt := cnt + 1 ;
6lS6
cnt := "000000000000000000000000"; end if;
if cnt < 10 then
pps <= T; else
pps <= '0'; end if; end if; end process; end rtl;模块6-4的VHDL语言实现
library ieee;
use ieee.std_logic_1164.all; entity 6-4 is port
(GPSSTS : in stdjogic; GPSPPS : in stdjogic; LPPS : in stdjogic; PPS: outstcTJogic
end entity;
architecture rtl of 6-4 is begin
PPS <= GPSPPS when GPSSTS = T else LPPS;
end rtl;见图3所示的CPLD工作流程图。当GPS工作正常时,PPS信号每秒输出一次,在每个PPS测量一次恒温晶体振荡器输出频率,计作fn(n = 0,1,2,. . .,N)。测量η次后,测得的恒温晶体振荡器平均输出频率Fn如下述公式所示。测量频率^= (F(n_V) + fn)/ {n ^ 1}根据实测的恒温晶体振荡器输出频率值就可以计算出当前本地时间的校正系数。 校正系数以查表法获得,校正系数表按如下公式计算获得。 校正系数计算公式n = fc/f0 (fc-f0)在上式中,η为调整系数,其含义为经过|η|秒后应增加/减少1个时钟周期,当η > 0时应增加一个时钟周期,当η < 0时应减少一个时钟周期;f。为恒温晶体实测频率;f; 为恒温晶体标称频率。当GPS工作不正常时,根据最后测得的恒温晶体振荡器输出频率,对本地时钟进行校正,以消除累积误差对同步精度的影响,利用校正后恒温晶体振荡器产生的本地时钟维持同步工作的顺利进行。通过上述处理后,可以实现在GPS稳定工作时,利用GPS授时信号实现精确同步; 在GPS失效时,利用恒温晶体振荡器维持同步工作从而拓展GPS同步系统的应用范围,弥补恒温晶体振荡器同步的技术不足。本实用新型在GPS同步系统中增加一个频率标定电路和恒温晶体振荡器,在GPS 定位正常的情况下,用GPS的PPS信号连续标定恒温晶体振荡器的输出频率,根据恒温晶体振荡器标定的实际输出频率校正其时间输出,从而保持恒温晶体振荡器产生的输出时间与 GPS时间同步,在GPS受到遮挡而不能工作时,系统自动切换成恒温晶体振荡器同步。实现在任何情况下均能实现同步工作的目的,且恒温石英钟同步的累积误差得到最大限度的克服。上述的实施例并不对本实用新型所要求的保护范围构成任何形式的限制,本实用新型的权利要求书覆盖了所有的修改和变更,因此,针对上述实施例做出种种修改和变化均属于本实用新型的保护范围内。
权利要求1.一种GPS与恒温晶体组合的精确同步系统,其特征在于包括 GPS接收模块,用于产生秒脉冲信号和GPS工作状态信号;恒温晶体振荡器,用于输出频率信号;频率标定与校正电路,其在秒脉冲信号的控制下对恒温晶体振荡器输出的频率进行标定与校正,GPS接收模块产生的秒脉冲信号和恒温晶体振荡器输出的频率信号接入频率标定与校正电路的输入;同步触发信号发生电路,其在GPS工作状态信号的控制下产生同步触发信号,GPS接收模块产生的秒脉冲信号和频率标定与校正电路输出的校正后的频率信号接入同步触发信号发生电路的输入;同步触发信号发生电路在GPS工作正常时,以秒脉冲信号作为信号源产生同步触发信号;否则以校正后的恒温晶体振荡器输出频率产生同步触发信号。
2.如权利要求1所述的GPS与恒温晶体组合的精确同步系统,其特征在于 GPS接收模块为授时型GPS接收模块。
专利摘要本实用新型为一种GPS与恒温晶体组合的精确同步系统,包括GPS接收模块、频率标定与校正电路、恒温晶体振荡器和同步触发信号发生电路。其中GPS接收模块用于接收定位卫星的授时信号输出精确同步的秒脉冲信号(PPS);恒温晶体振荡器用于产生较稳定的频率输出信号;频率标定与校正电路利用PPS对恒温晶体振荡器输出频率进行标定和校正;当GPS工作正常时,同步触发信号发生电路利用PPS产生精确同步触发信号,当GPS工作不正常时,同步触发信号发生电路利用校正后的恒温晶体振荡器输出频率产生精确同步触发信号。从而保证该组合同步系统无论在何种环境条件下均能正常工作。
文档编号G01S19/13GK202205037SQ20112029677
公开日2012年4月25日 申请日期2011年8月16日 优先权日2011年8月16日
发明者徐宝利, 李勇, 李建华, 林品荣, 石福升, 肖原, 郑采君, 郭鹏 申请人:中国地质科学院地球物理地球化学勘查研究所
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1