加速项目启动和出带的方法和设备的制作方法

文档序号:6580569阅读:141来源:国知局
专利名称:加速项目启动和出带的方法和设备的制作方法
技术领域
本公开一般涉及电子设计自动化。更具体地,本内容涉及用于加速项目启动和出 带(tape-out)的方法和设备。
背景技术
计算技术的快速发展使得有可能对有时大到上万亿字节的数据组进行每秒上万 亿次的计算操作。这些发展很大程度上归因于半导体设计和制造技术的巨大进步,其使得 有可能将数千万个器件集成在单个芯片上。集成密度一直在快速增加以紧跟对更小更快以及更复杂的电子器件和计算机的 无尽需求。当技术节点移向65nm及其以下时,电路设计师和项目管理者将面临一系列新的 困难挑战。特别是,电路设计师和项目管理者将发现,赶上项目的最后期限正变得越来越困 难了。因此,需要能够减少电路设计时间并能够更好地管理电路设计过程的系统和技术。

发明内容
本发明的一些实施例提供了加速项目启动的系统和技术。在操作期间,系统能够 接收一组技术文件和一组库,这些技术文件和库用于特定的半导体制造技术。然后,系统能 够识别所述一组技术文件和所述一组库中的缺陷。系统继而能够构建脚本,使得当计算机 系统执行该脚本时,其能够使所述计算机系统修复所述技术文件和所述一组库中的缺陷。 接着,将脚本连同电子设计自动化(EDA)软件提供给客户。当客户使用所述电子设计自动 化软件时,所述EDA软件可以自动地执行所述脚本来修复所述技术文件和所述一组库中的 缺陷。本发明的一些实施例提供了加速电路设计的出带的系统和技术。在操作期间,系 统能够接收一组由代工厂在接收到电路设计时所进行的检查。然后,系统能够构建脚本,当 该脚本由计算机执行时,其能够使所述计算机对所述电路设计执行所述一组检查。然后,将 所述脚本连同EDA软件提供给客户。当客户使用所述EDA软件对所述电路设计进行出带时, 可以使用所述脚本对所述电路设计自动执行所述一组检查。


图1示出了根据本发明一个实施例在集成电路的设计和加工中的各个阶段;图2A显示了根据本发明一个实施例如何通过使用更新工具来加速项目的启动;图2B显示了根据本发明一个实施例如何在出带期间通过执行检查来加速出带;
图3给出了示出根据本发明一个实施例、对于使用电子设计自动化软件的电路设计项目加速项目启动的过程的流程图;图4给出了示出根据本发明一个实施例、响应于接收到一组技术文件和/或一组 库的更新后如何更新脚本的流程图;图5给出了示出根据本发明一个实施例、在出带期间检查电路设计的过程的流程 图;以及图6示出了根据本发明一个实施例的计算机系统。
具体实施例方式为了使本领域中的任何技术人员能够制造和使用本发明,根据具体应用及其需求 给出下面的描述。对本领域中的技术人员来说,可以很容易地对所公开的实施例进行各种 修改,并且在不偏离本发明的精神和范围的情况下将此处所限定的一般原理运用到其它实 施例和应用中。因此,本发明不限于所示实施例,而是按照在此公开的原理及特征相一致的 最宽范围。集成电路(IC)设计流稈图1示出了根据本发明一个实施例的在集成电路的设计和加工中的各个阶段。过程开始于产品构思(步骤100),产品构思可以利用通过EDA过程(步骤110)设 计的集成电路来实现。在集成电路出带后(事件140),其可以经历加工过程(步骤150)和 封装及组装过程(步骤160),从而生产出芯片170。EDA过程(步骤110)包括步骤112-130,下面将描述这些步骤,其目的仅在于示意 而不是用来限制本发明。特别是,这些步骤可以按与下述顺序不同的顺序来执行。在系统设计期间(步骤112),电路设计师可以描述他们想要实现的功能。他们也 可以进行如果_怎么样(what-if)规划以细化功能、检查成本等。在这个阶段也可以进行 硬件-软件架构划分。在这个步骤中可以使用的示例性EDA软件产品包括来自Synopsys ^W]^ ModelArchitect>Saber , System Studio Design Ware 。在逻辑设计和功能验证期间(步骤114),可以编写用于系统中模块的VHDL或 Verilog代码,并可以检查设计的功能准确性,例如,对设计进行检查以确保其产生正 确的输出。在这个步骤中可以使用的示例性EDA软件产品包括来自Synopsys公司的 VCS 、Vera 、Design Ware 、MagellanTM、Formality 、ESP 和Leda 。在合成和测试设计期间(步骤116),可以将VHDL/Verilog代码翻译成网表。此 夕卜,可以针对目标技术而优化网表,并且可以设计测试并用其检查完成的芯片。在这个步 骤中可以使用的示例性EDA软件产品包括来自Synopsys公司的Design Compiler 、 Phys i cal Compiler 、 Test Compi1er、 Power Compiler 、 FPGA Compiler、 Tetra MAX 以及 Design Ware 。在网表验证期间(步骤118),对网表进行检查,检查是否与时序约束相符以及是 否与VHDL/Verilog源代码一致。在这个步骤中可以使用的示例性EDA软件产品包括来自 Synopsys 公司的Formality 、Prime Time 以及VCS 。在设计规划期间(步骤120),可以构造芯片的总体平面布置图并分析其时序和顶层布线。在这个步骤中可以使用的示例性EDA软件产品包括来自Synopsys公司的Astro 以及IC Compiler产品。在物理实现期间(步骤122),可以在布局中定位电路元件(放置),并对其进行电 耦合(布线)。在这个步骤中可以使用的示例性EDA软件产品包括来自Synopsys公司的 Astro 以及 IC Compiler 产品。
在分析和提取期间(步骤124),可以在晶体管层级验证电路功能并提取寄生参 数。在这个步骤中可以使用的示例性EDA软件产品包括来自Synopsys公司的AstroRai 1 、 PrimeRai 1、Prime Time 以及 Star-RCXT 。在物理验证期间(步骤126),可以对设计进行检查,以确保制造、电气问题、光刻 问题、以及电路的正确性。在这个步骤中可以使用的示例性EDA软件产品有来自Synopsys 公司的 Hercules 。在分辨率增强期间(步骤128),可以在布局上进行几何处理以提高设计的可制造 性。在这个步骤中可以使用的示例性EDA软件产品包括来自Synopsys公司的Proteus/ Progen、ProteusAF> 以及 PSMGen0在掩模数据准备期间(步骤130),可以对设计进行“出带”,以生成在加工期间所 使用的掩模。在这个步骤中可以使用的示例性EDA软件产品包括来自Synopsys公司的 CATS 系列产品。可配置的代工厂预备系统集成密度一直在快速增加以紧跟对更小更快以及更复杂的电子器件和计算机的 无尽需求。随着半导体技术走向65nm并进一步走向45/40nm及以下时,电路设计师和项目 管理者将面临一系列困难的挑战。首先,电路设计师需要非常先进的设计技术来设计这些 节点处的电路。其次,由于电子设计流程中的不同阶段之间的复杂依赖性,以及由于每个阶 段中所涉及的处理的高度复杂的特性,项目管理者极难精确地衡量进程,并极难精确地预 测某个电路设计项目何时将完成。第三,库(library)及代工厂的要求非常复杂,这导致项 目团队要花费大量的时间来建立电路设计项目、然后再对电路设计进行出带以确保其满足 代工厂的要求。第四,很难保证第三方电路设计(在半导体行业中通常称作“IP”)是干净 的并且彼此兼容。考虑到上述挑战,对于69%的出带(根据某些估计)会超过其最后的期 限就不再令人惊讶了。本发明的一些实施例为客户提供了通往65nm及以下技术的低风险途径。这些实 施例减少了周转时间并提高了可预测性和产率。具体地,这些实施例通过提供脚本和模板 以创建用于在电路设计流程中使用的完整的库以及技术文件数据库,从而提供了一种自动 化方式来为电子设计自动化软件建立库数据。此外,一些实施例帮助客户确保所出带的电 路设计满足代工厂的要求。客户通常接收一组技术文件和一组库。技术文件可以包括设计规则检查文件和 LVSdithography versus silicon,光刻相对于硅)检查文件。技术文件也可以包括描述 制造芯片将要使用的半导体制造技术的信息。在电路设计期间,EDA软件能够使用这些参 数值来预测电路设计的行为,并确保最终的电路设计能正确地进行制造。如果没有注意到 技术文件中的缺陷,则其会使投放市场的时间大大延后。技术文件中的缺陷可以包括无效 的句法、遗漏需要生成的片段、不正确的格式、或不正确的单位。因此,当电路设计项目启动时,关键要确保技术文件没有任何缺陷。电路设计师经常使用能够实现底层逻辑功能(例如,AND门、OR门等)、宏 (macro)、单元(cell)等的现成的电路设计。这些现成的电路设计通常作为库(例如,标准 单元库)来提供,并可以由代工厂或第三方供应商来提供。例如,代工厂通常向客户提供存 储器模块。注意,库用来加速电路设计过程,因为这些库能够使电路设计师避免一切从头做 起。然而,如果这些库中包含缺 陷,则项目团队会浪费宝贵的资源去努力修复这些缺陷。因 此,在将某个库用于电路设计之前,关键要确保这个库不含任何缺陷。在出带期间,电路设计必须满足一组非常严格的标准,使得所制造的芯片能够按 预期工作。具体地,代工厂在接受电路设计以进行制造之前,通常对电路设计进行大量的测 试。如果代工厂确定电路设计不满足其要求,那么代工厂就会通知电路设计师,然后电路设 计师对电路进行修改使其符合代工厂的要求。遗憾的是,在代工厂和电路设计师之间的这 个反复过程会使电路设计投放市场的时间大大延后。因此,在将电路设计出带并交给代工 厂进行制造之前,关键要确保电路设计满足代工厂的所有要求。遗憾的是,由于技术文件和库中的缺陷和/或由于电路设计不满足代工厂的要 求,项目的最后期限经常会错过。本发明的一些实施例提供在项目启动阶段中帮助识别技 术文件和/或库中的缺陷的系统和技术。此外,一些实施例使得电路设计师能够确保出带 的电路设计满足代工厂的所有要求。图2A示出了根据本发明一个实施例、如何通过使用更新工具来加速项目的启动。 (注意,为了清楚起见,在图2A和图2B中将技术文件和库204显示为单个对象。通常,技术 文件和库是分开的对象,它们可以由不同的实体提供。)代工厂202可以向客户206提供技术文件和库204。遗憾的是,技术文件和库204 经常包含缺陷,这些缺陷导致电路设计项目大大地延后。为了克服这个问题,EDA软件供应 商208可以接收技术文件和库204的副本。然后,EDA软件供应商208可以检查技术文件 和库204以识别任何缺陷。之后,EDA软件供应商208可以构造更新工具来修复缺陷。例 如,更新工具210可以是一组Tcl/Tk脚本,当该脚本被执行时能够修改技术文件和库以修 复这些缺陷。然后,EDA软件提供商208可以将更新工具210连同EDA软件212提供给客 户206。当客户206使用EDA软件212时,每当需要修复技术文件和库204中的缺陷时就可 以自动执行更新工具210。图2B示出了根据本发明一个实施例、如何通过在出带期间进行检查来加速出带。代工厂202可以将代工厂的要求252提供给客户206。客户206可以向代工厂202 提供出带的电路设计256,然后代工厂202可以执行各种检查。遗憾的是,客户的电路设计 通常不能通过代工厂在从所述客户那里接收到电路设计时所执行的检查。为了克服这个问 题,EDA软件提供商208可以接收代工厂的要求252。然后,EDA软件提供商208可以构建出 带脚本254 (例如,Tcl/Tk脚本),该脚本检查电路设计是否满足代工厂的要求252。然后, EDA软件提供商208可以将出带脚本254连同EDA软件212提供给客户206。当客户206 使用EDA软件212时,在出带期间可以自动执行出带脚本254以确保电路设计满足代工厂 的要求252。加速项目的启动图3给出了示出根据本发明一个实施例、对于使用电子设计自动化软件的电路设计项目加速项目启动的过程的流程图。过程开始于接收计划用于特定半导体制造技术的一组技术文件和一组库(方框 302)。技术文件通常描述了用于半导体制造技术的参数,而一组库通常包括预先设计的电 路设计。此外,技术文件通常从预期要基于电路设计来制造芯片的代工厂处获得,而一组库 可以从代工厂或者从第三方库提供商处获得。然后,系统能够识别这一组技术文件和一组库中的缺陷(方框304)。库可以包括 标准单元、I/O单元和存储器。库中的缺陷可以是设计规则违例。注意,代工厂创建设计规 贝U。库提供商通常努力提供库中的单元,以使其满足设计 规则。然而,库通常包含缺陷,例 如,设计规则违例,这些缺陷需要在库能够用于电路设计过程之前加以识别和修复。在没有 更新工具的情况下,识别和修复库与技术文件中的缺陷的过程会占用大量的资源。因此,更 新工具大大地减少了客户需要花费在修复这些缺陷上的时间。接着,系统可以构建脚本,使得当计算机系统执行该脚本时,其能够使计算机系统 修复技术文件和一组库中的缺陷(方框306)。具体地,通过利用与特定半导体制造技术相 关的参数值来配置脚本模板,可以构建脚本(例如,Tcl/Tk脚本)。脚本模板可以包括能 够修复在技术文件和库中所发现的最常见类型的缺陷的指令。然而,为了修复特定代工厂 的技术文件和/或库,脚本模板需要插入该代工厂所使用的半导体制造技术所特有的参数 值。然后,系统将脚本连同电子设计自动化软件提供给客户,由此,当客户使用电子设 计自动化软件时就使脚本自动地修复技术文件和一组库中的缺陷(方框308)。具体地,更 新工具也可以为用户自动建立设计流程,使得库和技术文件在由设计流程中的工具使用之 前由更新工具来加以修复。在更新工具修复了技术文件和/或库中的缺陷之后,或许需要 进行修补或重建过程。当客户接收到更新了的技术文件和库时,可能会在电路设计中引起新的错误,例 如,DRC错误、LVS错误、时序问题等。更新工具能够显著地加速解决这些问题。通常,当电 路设计师接收到更新时会紧张地赶他们的最后期限,因此,使用会引起新错误的库的更新 对电路设计过程来说会是非常糟糕的。同时,更新了的技术文件和/或库会提高系统的性 能,因此,不使用更新了的技术文件和/或库的话,电路设计师就会放弃芯片设计中的性能 改善。使用更新工具的话,电路设计师可以享受更新了的库的益处而不用担心库中的错误, 因为更新工具是特别构建用于修复更新了的库中的任何缺陷的。图4给出了示出根据本发明一个实施例、响应于接收到一组技术文件和/或一组 库的更新后如何更新脚本的流程图。过程可以开始于接收一组技术文件和/或一组库的更新(方框402)。然后,系统可以将更新运用到这一组技术文件和/或一组库上以获得一组更新了 的技术文件和/或一组更新了的库(方框404)。然后,系统可以识别这一组更新了的技术文件和/或一组更新了的库中的缺陷 (方框406)。接着,系统可以基于这一组更新了的技术文件和/或一组更新了的库中的缺陷来 更新脚本以获得更新了的脚本,从而当计算机系统执行更新了的脚本时,其能够使所述计 算机系统修复所述一组更新了的技术文件和/或一组更新了的库中的缺陷(方框408)。
然后,系统可以将更新了的脚本提供给客户,由此,当客户使用电子设计自动化软 件时,能够使更新了的脚本自动修复一组更新了的技术文件和/或一组更新了的库中的缺 陷(方框410)。注意,本发明的一些实施例基于下述认识技术文件和库经常具有需要加以修复 的同类型的缺陷。因此,如果更新工具脚本被设计成可配置的,那么可以快速对其进行配置 以便为不同代工厂或第三方库提供商修复缺陷。另外,对技术文件和/或库的更新也可能 包含与原始技术文件和/或库中所存在的缺陷类似的缺陷。因此,可以重新使用更新工具 的至少某些部分以修复技术文件和/或库的更新中的缺陷。另外,如上所述,更新工具是高 度可配置的,因此当EDA软件提供商接收到不同代工厂的技术文件时,EDA软件提供商能够 使用可配置的模板来很快地生成该特定代工厂的更新工具。加谏出带EDA设计流程可以包括大量的配置变量,这些配置变量是特别设计的,用来涵盖需 要进行检查以便向代工厂出带的所有不同的设计参数和分析参数。例如,代工厂可能要求 客户在四种不同的工作环境(例如,在四个不同的温度和电压环境)运行时序分析。EDA设 计流程中的配置变量能够使用户对这些工作环境进行编程。类似地,其它参数可以涉及时 序、功率、测试设计、可制造性。图5给出了示出根据本发明一个实施例、在出带期间检查电路设计的过程的流程 图。过程可以开始于接收一组代工厂接收到电路设计时要执行的检查(方框502)。这 些检查可以包括网表结构检查、形式验证检查、平面布置检查、库检查、静态时序分析检查、 电源完整性检查、信号完整性检查以及物理验证检查。接着,系统可以构建脚本,当该脚本由计算机执行时,其使得计算机对电路设计执 行这一组检查(方框504)。然后,系统可以将脚本连同电子设计自动化软件提供给客户,由此,在客户使用电 子设计自动化软件来对电路设计出带时,使得脚本对电路设计自动执行一组检查(方框 506)。当用户使用电子设计自动化软件时,系统能够在出带期间对电路设计自动执行一 组检查,以获得一组出带检查结果(方框508)。或者,客户可以在出带期间手动执行一部分 或全部脚本,以确保出带了的电路设计(例如,GDS-II数据)满足代工厂的要求。接着,系统可以生成报告,该报告包括上述一组出带检查结果(方框510)。如果电 路设计不能通过一个或多个出带检查,那么就对其进行修改。一旦电路设计通过了所有的 检查,那么,就可以将电路设计出带,并将出带数据提供给代工厂。在一些实施例中,系统可 以将报告提供给代工厂(方框512)。注意,出带过程得以加速是因为客户在将出带的电路 设计提供给代工厂之前确保了电路设计通过出带检查脚本。代工厂可以执行其检查而不管客户是否已经进行了出带检查。然而,如果客户指 出已经执行了某些出带检查,那么代工厂就最好区分其检查的优先次序,例如,代工厂在执 行客户已经执行了的检查之前可以先执行客户没有执行的检查。在一些实施例中,EDA软件可以以数字方式签署出带检查的结果。然后,客户将以 数字方式签署了的结果提供给代工厂以证明已执行了出带检查并且电路设计实际通过了出带检查。计算机系统图6示出了根据本发明一个实施例的计算机系统。计算机系统602包括处理器604、存储器606、以及存储设备608。计算机系统602 可以与显示器614、键盘610、指点设备612相耦合。存储设备608能够存储指令和/或数 据,当处理器604处理这些指令和数据时,其使得计算机系统602帮助加速项目的启动和出市ο具体地,存储设备608能够存储EDA软件616、电路设计618、技术文件620、库622、 更新工具624和出带脚本626。在操作期间,EDA软件616能够用来创建电路设计618,而 技术文件620和库622能够在电路设计过程期间使用。具体地,EDA软件616能够利用更 新工具624来修复技术文件620和库622中的任何缺陷。一旦设计准备出带,EDA软件616 就能执行出带脚本626以检查电路设计618是否满足代工厂的要求。图6所示的模块只用 于说明的目的,不用来将本发明限制到所公开的形式中。Mlt在此具体实施部分中所描述的数据结构和代码通常被存储在计算机可读存储介 质中,该存储介质可以是任何能够存储供计算机系统使用的代码和/或数据的设备或介 质。计算机可读存储介质包括但不限于易失性存储器、非易失性存储器、磁和光存储设备 (诸如磁盘驱动器、磁带、CD (致密光盘)、DVD (数字通用光盘或数字视频光盘))、或现在已 知的或将要开发的能够存储计算机可读介质的其它介质。可以将在具体实施方式
部分中所描述的方法和过程具体化为能够存储在上述计 算机可读介质中的代码和/或数据。当计算机系统读取并执行在计算机可读存储介质上所 存储的代码和/或数据时,计算机系统执行被实现为数据结构和代码并被存储在计算机可 读存储介质中的方法和过程。此外,可以将上述方法和过程包括在硬件模块中。例如,硬件模块可以包括但不限 于专用集成电路(ASIC)芯片、现场可编程门阵列(FPGA)、以及现在已知的或将要开发的其 它可编程逻辑器件。当激活硬件模块时,该硬件模块执行其中所包含的方法和过程。所给出的本发明的实施例的上述描述只是用于说明和描述的目的。其并非意在穷 尽或是将本发明限制在所公开的形式中。因此,对于本领域中的技术人员来说,许多修改和 变形是显而易见的。另外,上述内容不是用来限制本发明。本发明的范围由所附权利要求 书来界定。
权利要求
一种令使用电子设计自动化软件的电路设计项目加速启动的方法,所述方法包括接收一组技术文件和一组库,所述技术文件和库计划用于特定的半导体制造技术;识别所述一组技术文件和所述一组库中的缺陷;构建脚本,使得当计算机系统执行所述脚本时,其能够使所述计算机系统修复所述技术文件和所述一组库中的缺陷;以及将所述脚本连同所述电子设计自动化软件提供给客户,由此,当所述客户使用所述电子设计自动化软件时,使得所述脚本自动地修复所述技术文件和所述一组库中的缺陷。
2.根据权利要求1所述的方法,其中所述技术文件描述了用于半导体制造技术的参 数,而所述库包括预先设计的单元。
3.根据权利要求1所述的方法,其中所述脚本为Tcl/Tk脚本。
4.根据权利要求1所述的方法,其中构建脚本涉及利用与所述特定半导体制造技术相 关的参数值来配置脚本模板。
5.根据权利要求1所述的方法,其中所述技术文件接收自预期基于所述电路设计来制 造芯片的代工厂,而所述一组库接收自第三方库提供商。
6.根据权利要求1所述的方法,还包括 接收对所述一组技术文件的更新;将所述更新运用到所述一组技术文件以获得一组更新了的技术文件; 识别所述一组更新了的技术文件中的缺陷;基于所述一组更新了的技术文件中的缺陷来更新所述脚本以获得更新了的脚本,当所 述计算机系统执行所述更新了的脚本时,其使得所述计算机系统修复所述一组更新了的技 术文件中的缺陷;以及将所述更新了的脚本提供给所述客户,由此,当所述客户使用所述电子设计自动化软 件时,能够使所述更新了的脚本自动修复所述更新了的技术文件中的缺陷。
7.根据权利要求1所述的方法,还包括 接收对所述一组库的更新;将所述更新运用到所述一组库以获得一组更新了的库; 识别所述一组更新了的库中的缺陷;基于所述一组更新了的库中的缺陷来更新所述脚本以获得更新了的脚本,当所述计算 机系统执行所述更新了的脚本时,其使得所述计算机系统修复所述一组更新了的库中的缺 陷;以及将所述更新了的脚本提供给所述客户,由此,当所述客户使用所述电子设计自动化软 件时,能够使所述更新了的脚本自动修复所述更新了的库中的缺陷。
8.一种令使用电子设计自动化软件的电路设计项目加速启动的设备,所述设备包括 第一接收装置,其配置用于接收一组技术文件和一组库,所述技术文件和库计划用于特定的半导体制造技术;第一识别装置,其配置用于识别所述一组技术文件和所述一组库中的缺陷; 构建装置,其配置用于构建脚本,使得当计算机系统执行所述脚本时,其使得所述计算 机系统修复所述技术文件和所述一组库中的缺陷;以及第一提供装置,其配置用于将所述脚本连同所述电子设计自动化软件提供给客户,由此,当所述客户使用所述电子设计自动化软件时,使得所述脚本自动地修复所述技术文件 和所述一组库中的缺陷。
9.根据权利要求8所述的设备,其中所述技术文件描述了用于半导体制造技术的参 数,而所述库包括预先设计的单元。
10.根据权利要求8所述的设备,其中所述脚本为Tcl/Tk脚本。
11.根据权利要求8所述的设备,其中所述构建装置包括利用与所述特定半导体制造 技术相关的参数来配置脚本模板的装置。
12.根据权利要求8所述的设备,其特征在于,所述技术文件接收自预期基于所述电路 设计来制造芯片的代工厂,而所述一组库接收自第三方库提供商。
13.根据权利要求8所述的设备,其中所述设备还包括 第二接收装置,其配置用于接收对所述一组技术文件的更新;第一运用装置,其配置用于将所述更新运用到所述一组技术文件以获得一组更新了的 技术文件;第二识别装置,其配置用于识别所述一组更新了的技术文件中的缺陷; 第一更新装置,其配置用于基于所述一组更新了的技术文件中的缺陷来更新所述脚本 以获得更新了的脚本,当所述计算机系统执行所述更新了的脚本时,其使得所述计算机系 统修复所述一组更新了的技术文件中的缺陷;以及第二提供装置,其配置用于将所述更新了的脚本提供给所述客户,由此,当所述客户使 用所述电子设计自动化软件时,能够使所述更新了的脚本自动修复所述更新了的技术文件 中的缺陷。
14.根据权利要求8所述的设备,其中所述设备还包括 第三接收装置,其配置用于接收对所述一组库的更新;第二运用装置,其配置用于将所述更新运用到所述一组库以获得一组更新了的库; 第三识别装置,其配置用于识别所述一组更新了的库中的缺陷; 第二更新装置,其配置用于基于所述一组更新了的库中的缺陷来更新所述脚本以获得 更新了的脚本,当所述计算机系统执行所述更新了的脚本时,其使得所述计算机系统修复 所述一组更新了的库中的缺陷;以及第三提供装置,其配置用于将所述更新了的脚本提供给所述客户,由此,当所述客户使 用所述电子设计自动化软件时,能够使所述更新了的脚本自动修复所述更新了的库中的缺 陷。
15.一种使电路设计的出带加速的方法,其中利用电子设计自动化软件来设计电路设 计并出带,所述方法包括接收由代工厂执行的一组检查;构建脚本,当所述脚本由计算机执行时,其使得所述计算机对所述电路设计执行所述 一组检查;以及将所述脚本连同所述电子设计自动化软件提供给客户,由此,在所述客户使用所述电 子设计自动化软件来对所述电路设计进行出带时,使得所述脚本对所述电路设计自动执行 所述一组检查。
16.根据权利要求15所述的方法,还包括在出带期间对所述电路设计自动执行所述一组检查,以获得一组出带检查结果; 生成报告,所述报告包括所述一组出带检查结果;以及 将所述报告提供给所述代工厂。
17.根据权利要求15所述的方法,其中所述一组检查包括 网表结构检查;形式验证检查; 平面布置检查; 库检查;静态时序分析检查; 电源完整性检查; 信号完整性检查;以及 物理验证检查。
18.一种使电路设计的出带加速的设备,其中利用电子设计自动化软件来设计所述电 路设计并出带,所述设备包括接收装置,其配置用于接收由代工厂执行的一组检查;构建装置,其配置用于构建脚本,当所述脚本由计算机执行时,其使得所述计算机对所 述电路设计执行所述一组检查;以及第一提供装置,其配置用于将所述脚本连同所述电子设计自动化软件提供给客户,由 此,在所述客户使用所述电子设计自动化软件来对所述电路设计进行出带时,使得所述脚 本对所述电路设计自动执行所述一组检查。
19.根据权利要求18所述的设备,其中所述设备还包括执行装置,其配置用于在出带期间对所述电路设计自动执行所述一组检查,以获得一 组出带检查结果;生成装置,其配置用于生成报告,所述报告包括所述一组出带检查结果;以及 第二提供装置,其配置用于将所述报告提供给所述代工厂,由此使所述电路设计的出 带加速。
20.根据权利要求18所述的设备,其中所述一组检查包括 网表结构检查;形式验证检查; 平面布置检查; 库检查;静态时序分析检查; 电源完整性检查; 信号完整性检查;以及 物理验证检查。
全文摘要
本发明的一些实施例提供了能加速项目启动以及出带的系统和方法。在操作期间,系统可以接收一组技术文件和一组库。然后,系统可以识别所述一组技术文件和一组库中的缺陷。然后,系统可以构建更新工具,使得当计算机系统执行该更新工具时,其能够使所述计算机系统修复技术文件和一组库中的缺陷。此外,系统可以接收由代工厂所执行的一组检查。然后,系统可以构建出带脚本,当该脚本由计算机执行时,其能够使所述计算机对电路设计执行所述一组检查。然后,将更新工具和出带脚本连同电子设计自动化软件提供给客户以加速项目的启动和出带。
文档编号G06F17/50GK101833589SQ20091017491
公开日2010年9月15日 申请日期2009年10月29日 优先权日2009年3月13日
发明者A·S·波特姆斯基, J·S·泰森 申请人:新思科技有限公司
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1