包括无抽头标准单元的片上系统及其设计方法和设计系统的制作方法

文档序号:6397890阅读:195来源:国知局
专利名称:包括无抽头标准单元的片上系统及其设计方法和设计系统的制作方法
技术领域
示例性实施例涉及电路设计。更具体的是,示例性实施例涉及设计包括无抽头(tapless)标准单元的片上系统的方法、设计系统、以及片上系统。
背景技术
诸如片上系统的集成电路可能具有由于工艺的变化而导致的运行速度分布。由于半导体制造工艺已不断发展,片上系统的运行速度分布可能会被扩大,功率消耗与运行速度的比率可能会增加,片上系统的缺陷率可能会增加。

发明内容
一些示例性实施例提供了设计片上系统的方法,其能够改善片上系统的运行速度和能够降低片上系统的功耗。一些示例性实施例提供了能够改善片上系统的运行速度和降低片上系统的功耗的设计系统。—些示例性实施例提供了具有改善的运行速度和降低的功耗的片上系统。根据示例性实施例,在设计包括向其施加体偏置的无抽头标准单元的片上系统的方法中,执行慢角(slow corner)变化步骤,从而调整慢角时序参数,以通过反映正向体偏置而增加用于片上系统的运行速度分布的慢角;执行快角(fast corner)变化步骤,从而调整快角时序参数,以通过反映反向体偏置而减小用于片上系统的运行速度分布的快角;以及执行实现步骤,从而基于与增加的慢角相对应的调整的慢角时序参数、和与减小的快角相对应的调整的快角时序参数,来实现包括无抽头标准单元的片上系统。慢角时序参数对应于片上系统的运行速度设计窗口的最低值,并且快角时序参数对应于片上系统的运行速度设计窗口的最高值。在一些示例实施例中,慢角时序参数可以包括无抽头标准单元的慢角单元延迟、慢角设置余量、以及慢角保持余量中的至少一个,并且快角时序参数可以包括无抽头标准单元的快角单元延迟、快角设置余量、和快角保持余量中的至少一个。在一些示例实施例中,慢角变化步骤可以包括调整无抽头标准单元的慢角单元延迟的步骤。在一些示例实施例中,可以降低慢角单元延迟,以增加慢角。在一些示例实施例中,快角变化步骤可以包括调整无抽头标准单元的快角单元延迟的步骤。在一些示例实施例中,可以增加快角单元延迟,以减少快角。
在一些示例实施例中,实现步骤可以包括以下步骤,S卩,通过使用包括调整的慢角时序参数和调整的快角时序参数的无抽头标准单元库,来实现包括无抽头标准单元的片上系统。在一些示例实施例中,实现步骤可以包括如下的步骤:产生包括调整的慢角时序参数和调整的快角时序参数的无抽头标准单元库的步骤;基于无抽头标准单元库,通过综合用于片上系统的寄存器传输级(register-transfer level)网表,从而生成门级(gatelevel)网表的步骤;基于无抽头标准单元库和门级网表,来安置和路由片上系统的组件的步骤;以及基于包括在无抽头标准单元库中的调整的慢角时序参数和调整的快角时序参数,来检验片上系统的时序的步骤。在一些示例实施例中,实现步骤可以包括以下步骤,S卩,通过使用用于施加调整的慢角时序参数和调整的快角时序参数的时序调整脚本,来实现包括无抽头标准单元的片上系统。在一些示例实施例中,实现步骤可以包括如下的步骤:产生用于施加调整的慢角时序参数和调整的快角时序参数的时序调整脚本的步骤;通过综合用于片上系统的寄存器传输级网表来生成门级网表的步骤;响应于时序调整脚本来将调整的慢角时序参数和调整的快角时序参数施加到门级网表的步骤;基于向其施加调整的慢角时序参数和调整的快角时序参数的门级网表来安置和路由片上系统的组件的步骤;以及基于响应于时序调解脚本而施加的调整的慢角时序参数和调整的快角时序参数来检验片上系统的时序的步骤。根据示例性实施例,用于设计包括向其施加体偏置的无抽头标准单元的片上系统的设计系统包括:存储装置,其被配置为加载用于设计片上系统的设计工具;以及处理器,其被配置来执行加载在存储装置中的设计工具。由处理器执行的设计工具导致设计系统执行:慢角变化步骤,用于调整慢角时序参数,以通过反映正向体偏置而增加用于片上系统的运行速度分布的慢角;快角变化步骤,用于调整快角时序参数,以通过反映反向体偏置而减小用于片上系统的运行速度分布的快角;以及实现步骤,用于基于与增加的慢角相对应的调整的慢角时序参数、和与减小的快角相对应的调整的快角时序参数,来实现包括无抽头标准单元的片上系统。慢角时序参数对应于片上系统的运行速度设计窗口的最低值,并且快角时序参数对应于片上系统的运行速度设计窗口的最高值。在一些示例实施例中,通过使用包括调整的慢角时序参数和调整的快角时序参数的无抽头标准单元库,由处理器执行的设计工具可以实现包括无抽头标准单元的片上系统。在一些示例实施例中,通过使用用于施加调整的慢角时序参数和调整的快角时序参数的时序调整脚本,由处理器执行的设计工具可以实现包括无抽头标准单元的片上系统。根据示例性实施例,片上系统包括向其施加体偏置的至少一个无抽头标准单元,以及被配置为将体偏置电压施加到无抽头标准单元的体偏置发生器。通过执行以下步骤实现片上系统:即,慢角变化步骤,用于调整慢角时序参数,以通过反映正向体偏置而增加用于片上系统的运行速度分布的慢角;快角变化步骤,用于调整快角时序参数,以通过反映反向体偏置而减小用于片上系统的运行速度分布的快角;以及实现步骤,用于基于与增加的慢角相对应的调整的慢角时序参数、和与减小的快角相对应的调整的快角时序参数,来实现包括无抽头标准单元的片上系统。慢角时序参数对应于片上系统的运行速度设计窗口的最低值,并且快角时序参数对应于片上系统的运行速度设计窗口的最高值。在一些示例实施例中,体偏置发生器可被配置为如果片上系统处于增加的慢角,则将正向体偏置电压施加到无抽头标准单元,并且体偏置发生器可以被配置为如果片上系统处于减小的快角,则将反向的体偏置电压施加到无抽头标准单元。在一些示例实施例中,体偏置发生器可以被配置为,如果片上系统处于增加的慢角,则将低于电源电压的正向体偏置电压施加到在无抽头标准单元中包括的PMOS晶体管的体部,并且体偏置发生器可以被配置为如果片上系统处于减小的快角,则将高于电源电压的反向体偏置电压施加到在无抽头标准单元中包括的PMOS晶体管的体部。在一些示例实施例中,体偏置发生器可以被配置为,如果片上系统处于增加的慢角,则将高于电源电压的正向体偏置电压施加到在无抽头标准单元中包括的NMOS晶体管的体部,并且体偏置发生器可以被配置为如果片上系统处于减小的快角,则将低于电源电压的反向体偏置电压施加到在无抽头标准单元中包括的NMOS晶体管的体部。根据示例实施例,在设计向其施加动态电压频率缩放的和包括施加了体偏置的无抽头标准单元的片上系统的方法中,执行慢角变化步骤,从而调整慢角时序参数,以通过反映正向体偏置,根据在多个电源电压中的最高电源电压和在多个时钟频率中的最高时钟频率来增加片上系统的第一运行速度分布的慢角;执行快角变化步骤,从而调整快角时序参数,以通过反映反向体偏置,根据在多个电源电压中的最低电源电压和在多个时钟频率中的最低时钟频率来减小片上系统的第二运行速度分布的快角;执行实现步骤,从而基于与增加的慢角相对应的调整的慢角时序参数、和与减小的快角相对应的调整的快角时序参数,来实现包括无抽头标准单元的片上系统。慢角时序参数对应于片上系统的运行速度设计窗口的最低值,并且快角时序参数对应于片上系统的运行速度设计窗口的最高值。根据示例性实施例,用于设计包括无抽头标准单元的片上系统的方法可以包括:确定具有由慢角时序参数和快角时序参数所限定的范围的运行速度设计窗口,慢角时序参数对应于片上系统的运行速度设计窗口的最低值,快角时序参数对应于片上系统的运行速度设计窗口的最高值;以及通过在片上芯片中包括体偏置发生器,来实现包括无抽头标准单元的片上系统,其中,所述体偏置发生器被配置为基于确定的运行速度设计窗口,通过将体偏置电压施加到无抽头单元来调整片上系统的运行速度。根据示例性实施例,通过将体偏置发生器配置为接收指示在片上系统的当前运行速度和慢角时序参数与快角时序参数的至少一个之间的比较的信息,以及通过将体偏置发生器配置为基于比较将体偏置电压施加到无抽头标准单元来实现片上系统。根据示例性实施例,通过将体偏置发生器配置为将体偏置电压施加到无抽头等标准单元,以使得片上系统的运行速度被调整到落入运行速度设计窗口中来实现片上系统。


参照附图,通过对示例性实施例的详细描述,示例性实施例的上述和其它特征和优点将变得更加明显。附图的目的在于描述示例性实施例,并且其不应该被解释为限制权利要求的期望范围。除非明确指出,否则不应该将附图认为是按比例绘制的。图1是示出根据示例实施例,设计包括无抽头标准单元的片上系统的方法的流程图。图2A是示出根据示例实施例,通过设计方法而执行的设计窗口减小的示例的视图。图2B是示出根据示例实施例,通过设计方法而执行的设计窗口减小的另一示例的视图。图2C是示出根据示例实施例,通过设计方法而执行的设计窗口减小的又一示例的视图。图3是示出根据示例实施例,设计包括无抽头标准单元的片上系统的方法的流程图。图4是示出根据示例性实施例,在设计方法中实现片上系统的步骤的示例的流程图。图5是用于说明包括根据设计窗口减小而调整的时序参数的无抽头标准单元库的示例的视图。图6是示出根据示例性实施例,用于设计包括无抽头标准单元的片上系统的设计系统的视图。图7A是示出根据示例性实施例,包括无抽头标准单元的片上系统的示例的方框图。图7B是示出根据示例性实施例,包括无抽头标准单元的片上系统的另一示例的方框图。图7C是示出根据示例性实施例,包括无抽头标准单元的片上系统的又一示例的方框图。图8是示出根据示例性实施例,包括无抽头标准单元的片上系统的方框图。图9是示出根据示例性实施例,包括无抽头标准单元的片上系统的方框图。图10是示出根据示例性实施例,用于设计包括无抽头标准单元的片上系统的方法的流程图。图11是示出根据示例性实施例,在设计方法中实现片上系统的步骤的示例的流程图。图12是用于描述用于应用根据设计窗口减小而调整的时序参数的时序调整脚本的示例的视图。图13是示出根据示例性实施例,用于设计包括无抽头标准单元的片上系统的设计系统的视图。图14是示出根据示例性实施例,用于设计包括无抽头标准单元的片上系统的方法的流程图。图15是示出根据示例性实施例,通过设计方法执行的设计窗口减小的示例的视图。图16是示出根据示例实施例,包括无抽头标准单元的片上系统的示例的方框图。图17是示出根据示例性实施例的片上系统的方框图。图18是示出根据示例性实施例的包括片上系统的计算系统的方框图。
具体实施例方式在本文中公开详细的示例性实施例。然而,本文所公开的具体结构和功能细节仅仅是出于描述示例性实施例的目的的表示。然而,示例性实施例可以以许多替换的形式来体现,并且不应该被解释为限于这里所阐述的实施例。因此,尽管能够对示例实施例进行各种修改和替代,但是在附图中通过示例的方式示出其实施例,并且在此对其进行详细描述。然而,应当理解的是,不意图将示例性实施例限制为所公开的特定形式,而是相反,示例实施例将覆盖落在示例性实施例的范围内的所有修改,等同物和替换。在附图的整个描述中,相似的数字指示相似的元件。将理解的是,当元件被称为“连接”或“耦合”到另一元件时,其可以直接连接或耦合到其他元件,或可以存在中间元件。相反,当一个元件被称为“直接连接”或“直接耦合”到另一个元件时,则不存在中间元件。用于描述元件之间的关系的其它词语(例如,“之间”与“直接在之间”,“相邻”与“直接相邻”等)应该以类似的方式来理解。这里使用的术语仅仅为了描述特定实施例的目的,而不意图用于限制示例性实施例。如本文所用,除非上下文清楚地指示,否则单数形式“一个”也包括复数形式。将进一步理解,在本文中使用时,术语“包括”,“包含”指示所述特征、整数、步骤、操作、元件、和/或组件的存在,但是其也不排除一个或多个其他的特征、整数、步骤、操作、元件、组件、和/或它们的组的存在或添加。还应当注意到,在一些可选的实施中,所记录的功能/动作可能不以附图中记录的顺序来产生。例如,取决于所涉及的功能/动作,顺序示出的两个附图实际上可以基本上同时执行,或者有时可以以相反的顺序来执行。在附图中,为了清楚起见,层和区域的尺寸和相对尺寸可以被放大。将被理解的是,尽管本文中,术语第一、第二、第三等可以用于描述各种元件、组件、区域、层和/或部分,但是这些元件、组件、区域、层和/或部分不应该被这些术语所限制。这些术语仅用于将一个元件、组件、区域、层或部分与另一区域、层、或部分进行区分。因此,在不脱离示例实施例的教导的情况下,下面讨论的第一元件、组件、区域、层或部分也可以被称为第二元件、组件、区域、层或部分。在本文中,使用诸如“之下”、“下面”、“下部”、“之上”、“上面”等的空间相对术语,以便于说明在附图中所示的一个元件或特征与另一元件或特征的关系。应该理解的是,空间相对术语意在包含除了在附图中描述的方位之外的,在使用或操作中的装置的不同方位。例如,如果附图中的装置被翻转,则被描述为在其它元件或特征“下方”或“之下”的元件将被定向为在其它元件或特征“上方”。因此,示例性术语“下方”可以包括上方和下方两种方位。所述装置也可以被另外定位(旋转90度或者在其它方位),并且相应地使用本文的空间相对描述符来说明。这里使用的术语仅仅是出于描述特定的示例性实施例的目的,并且不意图限制示例性实施例。如本文所用,除非上下文清楚地另有说明,单数形式“一个”也包括复数形式。将进一步理解,在本说明书中使用时,术语“包括”和/或“包含”指示所述的特征、整数、步骤、操作、元件、和/或组件的存在,但是其不排除一个或多个其他特征、整数、步骤、操作、元件、组件、和/或它们的组的存在或添加。在此参考作为理想示例性实施例(和中间结构)的示意图的剖面图来对实施例进行描述。因此,作为例如,制造技术和/或公差而导致的结果,可以预期到插图形状的变化。因此,示例实施例不应该被解释为受限于这里所示的区域的特定形状,而是可以包括例如,由于制造而导致的形状变形。例如,示出为矩形的注入区域将通常具有圆形或弯曲的特征,和/或在其边缘的注入浓度具有梯度而不是从注入区到非注入区的二元性的变化。同样,通过注入形成的掩埋区可导致在掩埋区和通过其发生注入的表面之间的区域中的一些注入。因此,在图中所示的区域在本质上是示意性的,它们的形状并不意图示出装置的区域的实际形状,并且不意图限制示例性实施例的范围。除非另有定义,本文所用的所有术语(包括技术术语和科学术语)具有与示例性实施例所属于的本领域的普通技术人员所通常理解的相同的含义。将进一步理解,除非这里明确定义,例如在常用字典中定义的那些术语应被解释为具有与它们在相关领域的上下文中的含义一致的含义,将不被解释为理想化的或过于正式的意义。图1是示出根据示例实施例,设计包括无抽头标准单元的片上系统的方法的流程图。参照图1,在设计包括向其施加了体偏置的无抽头标准单元的片上系统的方法中,无抽头标准单元的慢角时序参数被调整,以通过反映正向体偏置(FBB)来增加片上系统的运行速度分布的慢角(S110)。例如,为了通过反映FBB,将作为运行速度分布的传统的慢角的运行速度分布的第一慢角变化为比第一慢角更快的第二慢角,可以将慢角时序参数调整为对应于第二慢角。慢角时序参数可以包括无抽头标准单元的慢角单元延迟(即,相对于慢角的无抽头标准单元的单元延迟)、慢角设置余量(即,相对于慢角的无抽头标准单元的设置余量)、和慢角保持余量(即,相对于慢角的无抽头标准单元的保持余量)中的至少一个。例如,为了增加慢角,无抽头标准单元的慢角单元延迟可以被减小,以对应于增加的慢角。在这里,增加慢角意味着增加慢角的运行速度上限和/或运行速度下限。即,增加的慢角可以具有比传统慢角的运行速度下限更快的运行速度的下限,和/或比具有传统的慢角的运行速度的上限更快的运行速度上限。在一些示例性实施例中,增加的慢角的运行速度下限可被确定为基本上与传统慢角的运行速度上限相同,并且增加的慢角的运行速度上限可以通过将根据FBB的运行速度增量增加到增加的慢角的运行速度下限来确定。在一些示例性实施例中,片上系统的运行速度分布可以经由用于制造片上系统的工艺线,而通过制造作为样品的任何半导体器件(例如,环形振荡器、反相器链等),并且通过对样品的运行速度分布进行测量来获取。调整无抽头标准单元的快角时序参数,以通过反映反向体偏置(RBB)来减小片上系统的运行速度分布的快角(S130)。例如,为了通过反映RBB,将作为运行速度分布的传统的快角的运行速度分布的第一快角变化为比第一快角更慢的第二快角,可以将快角时序参数调整为对应于第二快角。快角时序参数可以包括无抽头标准单元的快角单元延迟(即,相对于快角的无抽头标准单元的单元延迟)、快角设置余量(即,相对于快角的无抽头标准单元的设置余量)、和快角保持余量(即,相对于快角的无抽头标准单元的保持余量)中的至少一个。例如,为了减小快角,无抽头标准单元的快角单元延迟可以被增加,以对应于减小的快角。在这里,减小快角意味着减小快角的运行速度上限和/或运行速度下限。S卩,减小的快角可以具有比传统快角的运行速度下限更慢的运行速度的下限,和/或具有比传统的快角的运行速度的上限更慢的运行速度上限。在一些示例性实施例中,减小的快角的运行速度上限可被确定为基本上与传统快角的运行速度下限相同,并且减小的快角的运行速度下限可以通过从减小的快角的运行速度上限中减去根据RBB的运行速度减量来确定。可以基于与增加的慢角相对应的调整的慢角时序参数和与减小的快角相对应的调整的快角时序参数来实现包括无抽头标准单元的片上系统(S150)。在一些示例性实施例中,可以使用包括无抽头标准单元的调整的慢角时序参数和调整的快角时序参数的无抽头标准单元库来实现片上系统。例如,为了实现片上系统,可以产生包括调整的慢角时序参数和调整的快角时序参数的无抽头标准单元库;通过基于无抽头标准单元库来综合用于片上系统的寄存器传输级(RTL)网表,可以生成门级网表;可以基于无抽头标准单元库和门级网表来安置和路由片上系统的组件;并且可以基于在无抽头标准单元库中包括的调整的慢角时序参数和调整的快角时序参数,来检验其中安置和路由组件的片上系统的时序。片上系统的时序检验完成后,可以基于片上系统的布局数据来制造和封装片上系统。在其他不例性实施例中,可以使用用于施加调整的慢角时序参数和调整的快角时序参数的时序调整脚本来实现片上系统。在片上系统的综合、安置、和路由和/或静态时序分析期间,可以施加时序调整脚本,并且因此,可以实现和制造包括向其施加调整的慢角时序参数和调整的快角时序参数的无抽头标准单元的片上系统。如上所述,由于通过反映正向体偏置,慢角时序参数可以被调整为对应于比传统的慢角更快的增加的慢角,所以基于调整的慢角时序参数而实现的片上系统可以具有增加的运行速度。例如,根据慢角的增加,可以减小无抽头标准单元的慢角单元延迟,并且因此可以增加片上系统的运行速度。另外,由于单元延迟被降低,所以无抽头标准单元的尺寸和/或布线长度可以减小,并且可以减小片上系统的整个尺寸。此外,由于通过反映反向体偏置,快角时序参数可以被调整为对应于比传统快角更慢的减小的快角,所以基于调整的快角时序参数而实现的片上系统可以具有减小的功耗。虽然增加了慢角和减小了快角,但是由于在增加的慢角中,正向体偏置被施加到片上系统,以及在减小的快角中,反向体偏置被施加到片上系统,所以片上系统的产出量(yield)基本上得到保持。因此,根据示例性实施例的、用于设计包括无抽头标准单元的片上系统的方法可以改善片上系统的运行速度,并且可以降低片上系统的功耗和大小,从而保持片上系统的产出量。慢角和快角之间的间隙也可以称为“设计窗口 ”,并且缩小在慢角和快角之间的间隙来提高运行速度和/或降低电力消耗可以被称为“设计窗口减小”。在一些示例性实施例中,可以通过增加慢角和/或通过减小快角来执行设计窗口减小。因此,如这里所使用的,“慢角时序参数”可以代表片上系统的运行速度设计窗口的最低值或最低速度,并且“快角时序参数”可以代表片上系统的运行速度设计窗口的最高值或最高速度,其中,运行速度设计窗口,例如,是片上系统的运行速度的所期望的范围。图2A是示出根据示例实施例,通过设计方法而执行的设计窗口减小的示例的视图。参照图2A,虽然通过相同的工艺来制造片上系统,但是片上系统可以具有由于工艺的变化而导致的片上系统的运行速度分布200a。通常情况下,运行速度分布200a的第一慢角SSl (例如,传统的慢角)中的片上系统和运行速度分配200a的第一快角FFl (例如,传统的快角)中的片上系统可能被作为有缺陷的产品而被抛弃。在根据示例性实施例的设计方法中,通过反映将要施加到片上系统上的正向体偏置,工作速度分布200a的第一慢角SSl可以增加到比第一慢角SSl快的第二慢角SS2,并且通过反映将要施加到片上系统上的反向体偏置,工作速度分布200a的第一快角FFl可以被减少到比第一快角FFl更慢的第二快角FF2。基于调整为对应于第二慢角SS2的慢角时序参数和调整为对应于第二快角FF2的快角时序参数,可以实现和制造包括在每个片上系统中的至少一个无抽头标准单元。在相对于每个角来调整无抽头标准单元的单元延迟的示例中,可以将与第一慢角SSl的运行速度上限对应的无抽头标准单元的慢角单元延迟调整为对应于第二慢角SS2的运行速度上限,并且可以将与第一快角FFl的运行速度下限对应的无抽头标准单元的快角单元延迟调整为对应于第二快角FF2的运行速度下限。可以在包括无抽头标准单元的片上系统的综合、布置、路由、和/或静态时序分析期间,来施加调整的(即,增加的)慢角单元延迟和调整的(即,减小的)快角单元延迟。因此,可以通过减小慢角单元延迟和通过增加快角单元延迟来实现和制造具有改善的运行速度和降低的功耗的片上系统。在根据示例性实施例,通过设计方法来实现和制造的片上系统中,在第二慢角SS2中,正向体偏置可以被施加到片上系统上,并且在第二快角FF2中,反向体偏置可以被施加到片上系统上。因此,虽然慢角从第一慢角SSl增加到第二慢角SS2,并且快角从第一快角FFl减小到第二快角FF2,但是,片上系统的产出量基本上得到维持。在一些示例性实施例中,处于第一慢角SSl或第一快角FFl的片上系统可能会被作为有缺陷的产品而被抛弃。在其他示例性实施例中,通过减小慢角的增加量和快角的减小量,片上系统可具有改善的产出量。例如,在第一慢角SSl可能没有增加,以及第一快角FFl可能没有减少的情况下,通过向第一个慢角SSl中的片上系统施加正向体偏置和通过向第一快角FFl中的片上系统施加反向体偏置,片上系统上可以具有改善的产出量。图2B是示出根据示例实施例,通过设计方法而执行的设计窗口减小的另一示例的视图。参照图2B,由于工艺的变化,片上系统可以具有运行速度分布200b。在根据示例性实施例的设计方法中,通过反映将要施加到片上系统的正向体偏置,工作速度分布200b的第一慢角SSl可以增加到比第一慢角SSl更快的第二慢角SS2。基于被调整为对应于第二慢角SS2的慢角时序参数,可以实现和制造在每个片上系统包括的至少一个无抽头标准单元。例如,与第一慢角SSl的运行速度上限对应的无抽头标准单元的慢角单元延迟可以被调整为对应于第二慢角SS2的运行速度上限。可以在包括无抽头标准单元的片上系统的综合、安置、和路由和/或静态时序分析期间,施加调整的慢角单元延迟和没有被调整的快角单元延迟。相应地,通过减小慢角单元延迟,可以实现和制造具有改善的运行速度的片上系统。在通过根据示例性实施例的设计方法来实现和制造的片上系统中,可以将正向体偏置施加到第二慢角SS2的片上系统上。因此,虽然慢角从第一慢角SSl增加到第二慢角SS2,但是用于片上系统的产出量仍可以基本上得到维持。在一些示例性实施例中,在第一慢角SSl中的片上系统或者在弟一快角FFl中的片上系统可以被作为有缺陷的广品而被抛弃。在其他的示例性实施例中,通过减小慢角的增量,片上系统可以具有改善的产出量。图2C是示出根据示例实施例,通过设计方法而执行的设计窗口减小的又一示例的视图。
参照图2C,由于工艺的变化,片上系统可以具有运行速度分布200c。在根据示例性实施例的设计方法中,通过反映将要施加到片上系统的反向体偏置,工作速度分布200c的第一快角FFl可以减小到比第一快角FFl更慢的第二快角FF2。基于被调整为对应于第二快角FF2的快角时序参数,可以实现和制造在每个片上系统中包括的至少一个无抽头标准单元。例如,与第一快角FFl的运行速度下限对应的无抽头标准单元的快角单元延迟可以被调整为与第二快角FF2的运行速度下限对应。可以在包括无抽头标准单元的片上系统的综合、安置、和路由和/或静态时序分析期间,施加调整的快角单元延迟和没有被调整的慢角单元延迟。相应地,通过增加快角单元延迟,可以实现和制造具有减小的功耗的片上系统。在通过根据示例性实施例的设计方法来实现和制造的片上系统中,可以将反向体偏置施加到第二快角FF2中的片上系统上。因此,虽然快角从第一快角FFl减小到第二快角FF2,但是用于片上系统的产出量可以基本上得到维持。在一些示例性实施例中,在第一快角FFl中的片上系统或者在弟一慢角SSl中的片上系统可以被作为有缺陷的广品而被抛弃。在其他的示例性实施例中,通过减小快角的减小量,片上系统可以具有改善的产出量。图3是示出根据示例实施例,设计包括无抽头标准单元的片上系统的方法的流程图。参照图3,可以调整无抽头标准单元的慢角时序参数,以通过反映正向体偏置来增加包括无抽头标准单元的片上系统的运行速度分布的慢角(S310)。例如,为了增加慢角,可以将无抽头标准单元的慢角单元延迟减小为对应于增加的慢角。可以调整无抽头标准单元的快角时序参数,以通过反映反向体偏置来减小包括无抽头标准单元的片上系统的运行速度分布的快角(S330)。例如,为了减小快角,可以将无抽头标准单元的快角单元延迟增加为对应于减小的慢角。可以生成包括与增加的慢角对应的调整的慢角时序参数和与减小的快角对应的调整的快角时序参数的无抽头的标准单元库(S340)。例如,在无抽头标准单元被实现为反相器的情况下,反相器的无抽头标准单元库可以包括与增加的慢角对应的慢角单元延迟和与减小的快角对应的快角单元延迟。也就是说,包括在无抽头标准单元库中的慢角单元延迟可以从传统的慢角单元延迟增加,并且包括在无抽头标准单元库中的快角单元延迟可以从传统的快角单元延迟减小。在一些示例性实施例中,无抽头标准单元库可以不仅仅包括调整的时序参数,而且也包括用于无抽头标准单元的布局数据、功能定义、功率信息、噪声信息等。可以基于在无抽头标准单元库中包括的调整的慢角时序参数和调整的快角时序参数来实现包括无抽头标准单元的片上系统(S350)。例如,通过基于无抽头标准单元库来综合片上系统的寄存器传输级网表,可以生成门级网表;可以基于无抽头标准单元库和门级网表来安置和路由片上系统的组件;并且可以基于在无抽头标准单元库中包括的调整的慢角时序参数和调整的快角时序参数来检验其中安置和路由组件的片上系统的时序。即,在片上系统的综合、安置和路由、和/或静态时序分析期间,通过施加在无抽头标准单元库中包括的调整的时序参数,可以将包括无抽头标准单元的片上系统实现为对应于调整的时序参数。尽管图3示出的是在其中通过增加慢角和通过减小快角来执行设计窗口减小的示例,但是在一些示例性实施例中,可以通过增加慢角和通过减小快角中的一个来执行设计窗口减小。在下文中,将参照图3、4、和5来描述实现片上系统的步骤(S350)的示例。图4是示出根据示例性实施例,在设计方法中实现片上系统的步骤的示例的流程图,并且图5是用于说明包括根据设计窗口减小而调整的时序参数的无抽头标准单元库的示例的视图。参见图3、4、和5,可以调整无抽头标准单元的慢角时序参数,以通过反映向前体偏置来增加包括无抽头标准单元的片上系统的运行速度分布的慢角(S310)。可以调整无抽头标准单元的快角时序参数,以通过反映反向体偏置来减小包括无抽头标准单元的片上系统的运行速度分布的快角(S330)。可以生成包括与增加的慢角对应的调整的慢角时序参数和与减小的快角对应的调整的快角时序参数的无抽头标准单元库(S340)。在图5中,用于反相器的无抽头标准单元库345a被示出为无抽头标准单元库345的示例。图5中示出的用于反相器的无抽头标准单元库345a可以包括表347a,其用于设置分别与多个输入压摆率(skew) ISU IS2、和IS3和多个输出负载0L1、0L2、和0L3的组合对应的单元延迟D1、D2、D3、D4、D5、D6、D7、D8、D9、DIO、Dll、和D12的值。在用于反相器的无抽头标准单元库345a是慢角的无抽头标准单元库的情况下,包括在无抽头标准单元库345a中的单元延迟Dl、D2、D3、D4、D5、D6、D7、D8、D9、D10、D11、和D12 (即,慢角单元延迟)可以从传统的慢角单元延迟减小。此外,在用于反相器的无抽头标准单元库345a是快角的无抽头标准单元库的情况下,包括在无抽头标准单元库 345a 中的单元延迟01、02、03、04、05、06、07、08、09、010、011、和 D12 (即,快角单元延迟)可以从传统的快角单元延迟增加。为了实现片上系统(S350 ),基于包括调整的慢角时序参数和调整的快角时序参数的无抽头标准单元库345,可以通过综合用于片上系统的RTL网表340来产生片上系统的门级网表(S351)。在一些示例性实施例中,无抽头标准单元库345可以不仅包括调整的时序参数,而且还可以包括用于无抽头标准单元的布局数据、功能定义、功率信息、噪声信息等。另外,无抽头标准单元库345可以被提供用于在片上系统中包括的各类无抽头标准单元的每个角。例如,无抽头标准单元可以被实现作为反相器、NAND门、AND门、NOR门、OR门、XOR门、XNOR门、多路复用器、加法器、锁存器、触发器等,并且可以提供用于反相器、NAND门、AND门、NOR门、OR门、XOR门、XNOR门、多路复用器、加法器、锁存器、触发器的慢角和快角的无抽头标准单元库345。每种类型的无抽头标准单元的用于慢角的无抽头标准单元库345和用于快角的无抽头标准单元库345中的至少一个可以具有根据设计窗口减小而调整的时序参数。根据示例性实施例,RTL网表340可以包括用于产生偏置电压的偏置电压产生器,或者用于偏置电压发生器的额外的网表可以与RTL网表340综合。例如,网表340可以定义如下的偏置电压发生器,其被配置为将正向体偏置电压或反向体偏置电压施加到无抽头标准单元,使得无抽头标准单元的运行速度落入到通过片上系统设计工艺的操作者所选择的设计窗口中。在生成片上系统的门级网表之后,片上系统的布局数据360可以通过基于无抽头标准单元库345和门级网表来安置和路由片上系统的组件而生成(S353)。与基于没有调整的时序参数而安置和路由的每个组件的大小和/或布线长度相比,可以减小基于调整的时序参数(例如,调整的慢角时序参数和调整的快角时序参数)而安置和路由的每个组件的大小和/或布线长度。例如,如果增加慢角,则用于慢角的反相器的无抽头标准单元库345可以包括减小的单元延迟。在这种情况下,由于减小了用于反相器的最大单元延迟,所以反相器可以具有与快角的减小无关的较小的尺寸和较短的布线长度。也就是说,由于基于与增加的慢角对应的调整的慢角时序参数和与减小的快角对应的调整的快角时序参数来安置和路由片上系统,所以片上系统可以具有较小尺寸和较短的布线长度。在生成片上系统的布局数据360之后,可以基于在无抽头标准单元库345中包括的调整的时序参数来检验用于片上系统的布局数据360的时序(S355)。例如,根据布局数据360可以检查片上系统的每个路径的信号传输时序、保持时间违反(violation)、设置(setup)时序间违反(violation)等。如果在时序检验期间检测到时序违反,则可以修改用于片上系统的网表和/或布线。可以基于完成了时序检验的布局数据360来制造和封装片上系统。在制造和封装的片上系统处于增加的慢角或处于减小的快角中的情况下,可以将体偏置施加到片上系统。因此,虽然执行了增加慢角和/或减小快角,或虽然执行了设计窗口减小,但是仍可以基本上维持片上系统的产出量。另外,由于基于根据设计窗口减小而调整的时序参数来实现片上系统,所以片上系统的运行速度可以提高,并且片上系统的功耗和大小也可以减少。图6是示出根据示例性实施例,用于设计包括无抽头标准单元的片上系统的设计系统的视图。参照图6,设计系统400可以包括:存储装置430,在其中,加载用于设计包括无抽头标准单元的片上系统的设计工具;以及处理器,其执行在存储装置430加载的设计工具。设计系统400可以执行在图3和4中示出的设计方法。处理器可以将诸如,电子设计自动化(EDA)工具的设计工具440加载到存储装置430中。例如,处理器可以从诸如固态驱动器、硬盘驱动器、⑶-ROM等的存储设备来将设计工具440加载到存储装置430中。处理器可以执行设计工具440以实现片上系统。由处理器执行的设计工具440可以基于用于片上系统的RTL网表340和无抽头标准单元库345,来实现包括无抽头标准单元的片上系统,其中,所述无抽头标准单元库345包括通过反映正向体偏置而被调整为与增加的慢角对应的慢角时序参数,以及通过反映反向体偏置而被调整为与减小的快角对应的快角时序参数。例如,处理器可以执行综合工具441、安置和布线工具443、以及静态时序分析工具445。通过基于无抽头标准单元库345来综合RTL网表340,通过处理器执行的综合工具441可能生成用于片上系统的门级网表357。通过基于无抽头标准单元库345和门级网表357来安置和路由片上系统的组件,安置和布线工具443可以产生用于片上系统的布局数据360。基于在无抽头标准单元库345中包括的调整的时序参数,静态时序分析工具445可以检验用于片上系统的布局数据360的时序。在实现片上系统时,设计系统400可以经由诸如显示装置的输出装置向片上系统(SOC)的设计师460提供每个工艺的结果,并且可以经由诸如键盘的输入装置从SOC的设计师460来接收反馈。基于完成了时序检验的布局数据360可以制造和封装片上系统。制造的片上系统的实例在图7A至10中示出。
图7A是示出根据示例性实施例,包括无抽头标准单元的片上系统的示例的方框图。参照7A,可以将片上系统实现和制造为包括至少一个无抽头标准单元510a、监视电路530a、和体偏置发生器体550a的集成电路500a。片上系统可以是基于标准单元而以半定制的方法来设计的任何片上系统。例如,片上系统可以是移动的S0C、应用处理器、媒体处理器、微处理器、中央处理单元(CPU )等。无抽头标准单元510a可以被实现为不同类型的电路。例如,无抽头标准单元510a可以被实现为反相器、NAND门、AND门、NOR门、OR门、XOR门、XNOR门、多路复用器、加法器、锁存器、触发器等。包括在无抽头标准单元510a中的至少一个晶体管可以不具有将晶体管的体部(body)耦合到电源电压线或接地电压线的抽头。也就是说,晶体管的体部可以不耦合到电源电压线或接地电压线,并且体偏置电压可以被施加到晶体管的体部。无抽头标准单元510a可以包括至少一个PMOS晶体管511a和至少一个NMOS晶体管513a。监视电路530a可以测量包括无抽头标准单元510a的集成电路500a的运行速度。例如,监视电路530a可以包括环形振荡器,并且可以基于环形振荡器的输出频率来测量集成电路500a的运行速度。在其他示例中,通过测量集成电路500a的漏电流,监视器电路530a可以测量集成电路500a的运行速度。当集成电路500a的漏电流大时,监视电路530a可确定集成电路500a的运行速度快。监视电路530a可以执行对于片上系统的初始化序列期间的工作速度的测量,并且可以向体偏置发生器体550a提供有关测量的运行速度的信肩、O基于关于集成电路500a的运行速度的信息,体偏置发生器体550a可以选择性地将体偏置电压VNW和VPW施加到无抽头标准单元510a。例如,体偏置发生器体550a可以在集成电路500a处于增加的慢角(例如,在图2A中示出的第二慢角SS2)的情况下,将正向体偏置电压施加到无抽头标准单元510a,体偏置发生器体550a可以在集成电路500a处于减小的快角(例如,在图2A中示出的第二快角FF2)的情况下,将反向体偏置电压施加到无抽头标准单元510a,并且可以在在集成电路500a既不处于增加的慢角也不处于减小的快角的情况下,不将体偏置电压施加到无抽头标准单元510a。如果集成电路500a处于增加的慢角,则体偏置发生器体550a可以将作为正向体偏置电压的、比电源电压低的PMOS体偏置电压(或N阱电压)VNW施加到包括在无抽头标准单元510a中的PMOS晶体管511a的体部;并且可以将作为正向体偏置电压的、比接地电压高的NMOS体偏置电压(或P阱电压)VPW施加到包括在无抽头标准单元510a中的NMOS晶体管513a的体部。例如,在约1.0V的电源电压的情况下,PMOS体偏置电压VNW可能低于约1.0V并且高于约0.5伏,并且NMOS体偏置电压VPW可能高于约0.0V并且低于约0.5V。如果比电源电压低的PMOS体偏置电压VNW被施加到PMOS晶体管511a的体部,并且比接地电压高的NMOS体偏置电压VPW被施加到NMOS晶体管513a的体部,则PMOS晶体管511a和NMOS晶体管513a的阈值电压可能会降低,并且因此可能会增加无抽头标准单元510a的运行速度。因此,处于增加的慢角的集成电路500a的运行速度可以增加,并且所制造的片上系统的最小运行速度可以增加。如果集成电路500a处于减小的快角,则体偏置发生器体550a可以将作为反向体偏置电压的、比电源电压高的PMOS体偏置电压VNW施加到包括在无抽头标准单元510a中的PMOS晶体管511a的体部;并且可以将作为反向体偏置电压的、比接地电压低的NMOS体偏置电压VPW施加到包括在无抽头标准单元510a中的NMOS晶体管513a的体部。例如,在约1.0V的电源电压的情况下,PMOS体偏置电压VNW可能高于约1.0V并且低于约1.4伏,并且NMOS体偏置电压VPW可能低于约0.0V并且高于约-0.4V。因此,处于减小的快角中的集成电路500a的运行速度可以减小,并且所制造的片上系统的最大运行速度可以减小。基于根据设计窗口减小(S卩,减少在运行速度分布的慢角和快角之间的间隙)而调整的时序参数通过反映体偏置可以实现无抽头标准单元510a。因此,可以增加包括无抽头标准单元510a的片上系统的最小运行速度,并且可以降低片上系统的最大功耗和最大尺寸。另外,尽管减小了设计窗口,但是通过将正向体偏置施加到处于增加的慢角中的片上系统和将反向体偏置施加到处于减小的快角中的片上系统,可以基本上保持片上系统的产出量。图7B是示出根据示例性实施例,包括无抽头标准单元的片上系统的另一示例的方框图。参照图7B,可以将片上系统实施和制造为包括至少一个无抽头标准单元510b、监视电路530b、和体偏置发生器体550b的集成电路500b。无抽头标准单元510b可以包括至少一个PMOS晶体管511b和至少一个NMOS晶体管513b。除了体偏置发生器550b可以将体偏置电压VNW仅仅施加到PMOS晶体管511b之外,图7B的片上系统可以具有类似于图7A的片上系统的配置。体偏置发生器550b可以在片上系统处于增加的慢角的情况下,将正向体偏置电压施加到PMOS晶体管511b,并且可以在片上系统处于减小的快角的情况下,将反向体偏置电压施加到NMOS晶体管513b。图7C是示出根据示例性实施例,包括无抽头标准单元的片上系统的又一示例的方框图。参照图7C,可以将片上系统实施和制造为包括至少一个无抽头标准单元510c、监视电路530c、和体偏置发生器体550c的集成电路500c。无抽头标准单元510c可以包括至少一个PMOS晶体管511c和至少一个NMOS晶体管513c。除了体偏置发生器550c可以将体偏置电压VPW仅仅施加到NMOS晶体管513c之外,图7C的片上系统可以具有类似于图7A的片上系统的配置。体偏置发生器550c可以在片上系统处于增加的慢角的情况下,将正向体偏置电压施加到NMOS晶体管511c,并且可以在片上系统处于减小的快角的情况下,将反向体偏置电压施加到PMOS晶体管513c。图8是示出根据示例性实施例,包括无抽头标准单元的片上系统的方框图。参照图8,片上系统可以包含集成电路600以及在集成电路600外部的体偏置发生器体650,集成电路600包括至少一个无抽头标准单元610和监视电路630。无抽头标准单元610可以包括至少一个PMOS晶体管611和至少一个NMOS晶体管613。除了体偏置发生器650可以位于集成电路600的外部之外,图8的片上系统可以具有类似于图7A的片上系统的配置。体偏置发生器650可以在片上系统处于增加的慢角的情况下,将正向体偏置电压施加到无抽头标准单元610,并且可以在片上系统处于减小的快角的情况下,将反向体偏置电压施加到无抽头标准单元610。根据示例实施例,体偏置发生器650可以将体偏置电压VNW和VPW施加到PMOS晶体管611、NM0S晶体管613、或者PMOS晶体管611和NMOS晶体管613这两者。如果体偏置发生器650位于集成电路600的外部,则集成电路600的尺寸可能会减小,尽管集成电路600的引脚数会增加。图7A至图8示出包括监视器电路的片上系统的示例。在这些片上系统中,监视器电路可以测量在片上系统的初始化序列(或引导序列)期间片上系统的运行速度,以确定是否体偏置被施加。在其他示例性实施例中,如图9所示,通过在制造片上系统之后的芯片测试来确定是否施加体偏置。图9是示出根据示例性实施例,包括无抽头标准单元的片上系统的方框图。参照图9,可以将片上系统实现和制造为集成电路800,其包括至少一个无抽头标准单元810、存储器区域840、和体偏置发生器850。片上系统可以是基于标准单元的以半定制方法来设计的任何片上系统。可以通过反映体偏置,基于根据设计窗口减小(例如,运行速度分布的增加的慢角和/或减小的快角)来调整的时序参数来实现无抽头标准单元810。也就是说,当设计无抽头标准单元810时,可以重新设置慢角和/或快角。例如,可以设计包括无抽头标准单元810的片上系统,从而增加慢角和减小快角。在制造片上系统之后,可以执行测试(例如,晶片级测试、芯片级测试、封装级测试等),以检查片上系统是处于增加的慢角或处于减小的快角。例如,可以通过测量片上系统的运行速度来确定片上系统是处于增加的慢角或是处于减小的快角。测试结果可以被写入到存储器区域840。例如,表示片上系统是处于增加的慢角、减小的快角、或者处于在增加的慢角和减小的快角之间的减小的设计窗口中的体偏置信息可以被写入到存储器区域840。如果片上系统的运行速度可比增加的慢角的运行速度的下限更慢,或者比减小的快角的运行速度的上限更快,则片上系统可以作为有缺陷的产品而被抛弃。存储器区域840可存储体偏置信息,其表示片上系统是处于增加的慢角、减小的快角、或减小的设计窗口中。在一些示例性实施例中,存储器区域840可以通过非易失性存储装置实现。例如,存储器区域840可被实现为电可编程的熔丝式存储器、激光可编程的熔丝式存储器、反熔丝式存储器、一次性可编程(OTP)存储器、闪速存储器、掩模只读存储器(ROM)、可编程只读存储器(PR0M)、可擦除可编程只读存储器(EPR0M)、电可擦除可编程存储器(EEPROM)等。在其他示例性实施例中,存储器区域840可以通过寄存器或易失性存储装置实现,并且可以在片上系统的初始化序列中,从外部装置将体偏置信息提供给存储器区域840。基于存储在存储器区域840中的体偏置信息,体偏置产生器850可以选择性地将体偏置VNW和VPW施加到无抽头标准单元810。例如,在体偏置信息指示片上系统处于增加的慢角中的情况下,体偏置发生器850可以将正向体偏置电压施加到无抽头标准单元810。在体偏置信息指示片上系统处于减小的快角的情况下,体偏置产生器850可以将反向体偏置电压施加到无抽头标准单元810。根据示例实施例,体偏置产生器850可以将体偏置电压VNW和VPW施加到PMOS晶体管811、NM0S晶体管813、或者PMOS晶体管811和NMOS晶体管813这两者。根据示例实施例,体偏置发生器850可以位于集成电路800的内部或外部。图10是示出根据示例性实施例,用于设计包括无抽头标准单元的片上系统的方法的流程图。参照图10,可以调整无抽头标准单元的慢角时序参数,以通过反映正向体偏置来增加包括无抽头标准单元的片上系统的运行速度分布的慢角(S910)。例如,为了增加慢角,可以减小无抽头标准单元的慢角单元延迟,以对应于增加的慢角。可以调整无抽头标准单元的快角时序参数,以通过反映反向体偏置来减小包括无抽头标准单元的片上系统的运行速度分布的快角(S930)。例如,为了减小快角,可以增加无抽头标准单元的快角单元延迟,以对应于减小的快角。可以生成时序调节脚本,以施加与增加的慢角对应的调整的慢角时序参数和与减小的快角对应的调整的快角时序参数(S940 )。例如,在无抽头标准单元被实现作为反相器的情况下,时序调整脚本可以包括减小反相器的慢角单元延迟的命令行和/或增加反相器的快角单元延迟的命令行。通过施加时序调整脚本,基于调整的时序参数,可以实现包括无抽头标准单元的片上系统(S950)。例如,可以通过综合片上系统的寄存器传输级网表来生成门级网表,响应于时序调整脚本,调整的时序参数可以被施加到门级网表,基于施加了调整的时序参数的门级网表,可以安置和路由片上系统的组件,以及可以基于调整的时序参数来检验片上系统的时序。即,通过在片上系统的综合、安置和路由、和/或静态时序分析期间施加调整慢角时序参数以对应于增加的慢角,和调整快角时序参数以对应于减小的块角的时序调整脚本,可以对应于调整的时序参数来实现包括无抽头标准单元的片上系统。在下文中,将参照图10、11、和12来描述实现片上系统的步骤(S950)的示例。图11是示出根据示例性实施例,在设计方法中实现片上系统的步骤的示例的流程图,并且图12是用于描述用于施加根据设计窗口减小而调整的时序参数的时序调整脚本的示例的视图。参见图10、11、和12,可以调整无抽头标准单元的慢角时序参数,以通过反映正向体偏置来增加包括无抽头标准单元的片上系统的运行速度分布的慢角(S910)。可以调整无抽头标准单元的快角时序参数,以通过反映反向体偏置来减小包括无抽头标准单元的片上系统的运行速度分布的快角(S930)。可以产生时序调整脚本957,以施加与增加的慢角对应的调整的慢角时序参数和与减小的快角对应的调整的快角时序参数(S940)。图12示出了包括第一至第四命令行971、973、975和977的时序调整脚本970的示例。例如,第一命令行971可以设置包括普通的电压阈值(RVT)晶体管的无抽头标准单元的单元延迟,第二命令行973可以设置包括低电压阈值(LVT)晶体管的无抽头标准单元的单元延迟,第三命令行975可以设置包括RVT晶体管的触发器的保持余量,并且第四命令行可以设置包括LVT晶体管的触发器的保持余量。为了实现片上系统(S950),可以基于无抽头标准单元库945,通过综合片上系统的RTL网表940来生成片上系统的门级网表(S951)。不同于在图6中示出的无抽头标准单元库345,无抽头标准单元库945可以与传统的慢角和传统的快角对应的时序参数。通过时序调整脚本957,可以调整包括在无抽头标准单元库945中的时序参数,以对应于增加的慢角和减小的快角。在一些示例性实施例中,调整时序参数的时序调整脚本957可以在综合期间进行应用。根据示例性实施例,用于生成体偏置电压的偏置电压发生器可以被包括在RTL网表940中,或者用于偏置电压发生器的额外的网表也可以与RTL网表940综合。在生成用于片上系统的门级网表之后,可以响应于时序调整脚本957来将调整的时序参数施加到门级网表,并且基于施加了调整的时序参数的门级网表,通过安置和路由片上系统的组件,可以生成片上系统的布局数据960 (S953)。相比于基于与传统的慢角和传统的快角相对应的时序参数来安置和路由的组件的尺寸和/或布线长度,可以减小基于与增加的慢角和减小的快角相对应的时序参数来安置和路由的组件的尺寸和/或布线长度。在生成片上系统的布局数据960之后,可以基于响应于时序调整脚本957而施加的调整的时序参数,验证片上系统的布局数据960的时序(S955)。例如,可以检查根据布局数据960的片上系统的每个路径的信号传输时序、保持时间违反、设时序间违反等。可以基于完成了时序检验的布局数据960来制造和封装片上系统。如果制造和封装的片上系统处于增加的慢角或减小的快角,则体偏置可施加到片上系统。因此,虽然执行了增加的慢角和/或减小的快角,或者虽然执行了设计窗口减小,但是可以基本上保持片上系统的产出量。另外,由于基于根据设计窗口减小而调整的时序参数来实现片上系统,所以片上系统的运行速度也可以提高,并且片上系统的功耗和尺寸可以减小。图13是示出根据示例性实施例,用于设计包括无抽头标准单元的片上系统的设计系统的视图。参照图13,设计系统1000可以包括存储装置1030,在其中加载包括无抽头标准单元的用于设计片上系统的设计工具;以及处理器,所述处理器执行加载在存储装置1030中的设计工具。设计系统1000可以执行在图11和12中示出的设计方法。处理器可以将诸如EDA工具的设计工具1040加载到存储装置1030中。例如,处理器可以将设计工具1040从诸如固态驱动器、硬盘驱动器、CD-ROM等的存储设备加载到存储装置1030中。处理器可以执行设计工具1040,以实现片上系统。基于片上系统的RTL网表940、无抽头标准单元库945、和时序调整脚本957,由处理器执行的设计工具1040可以实现包括无抽头标准单元的片上系统,其中,时序调整脚本957用于施加被调整以通过反映体偏置而对应于增加的慢角的慢角时序参数,以及施加被调整以通过反映体偏置而对应于减小的快角的快角时序参数。在一些示例性实施例中,用于施加根据设计窗口减小而被调整的时序参数的时序参数脚本957可以被存储在存储装置中作为电子文件,并且处理器可以执行存储在存储装置中的时序调整脚本957。在其他示例性实施例中,当处理器执行设计工具1040时,SOC设计者1060可以经由诸如键盘的输入装置来将用于施加根据设计窗口减小而调整的时序参数的时序调整脚本957输入到设计工具1040中。处理器可以执行综合工具1041、安置和路由工具1043、和静态时序分析工具1045。基于无抽头标准单元库945,通过综合RTL网表940,由处理器执行的综合工具1041可以产生片上系统的门级网表947。安置和路由工具1043可以响应于时序调整脚本957来施加调整的时序参数,并且可以基于调整的时序参数、无抽头标准单元库945、和门级网表947,通过安置和路由偏上系统的组件来产生片上系统的布局数据960。基于响应于时序调整脚本957而施加的调整的时序参数,静态时序分析工具1045可以检验的片上系统的布局数据960的时序。
可以基于完成时序检验的布局数据960来制造和封装片上系统。因此,可以制造图7A至图9中示出的片上系统。图14是示出根据示例性实施例,用于设计包括无抽头标准单元的片上系统的方法的流程图,并且图15是示出根据示例性实施例,通过设计方法执行的设计窗口减小的示例的视图。图14中示出的设计方法可以被应用到片上系统,在片上系统中,施加了动态调整电源电压和时钟频率的动态电压频率缩放(DVFS)。根据示例实施例,设计包括无抽头标准单元的片上系统的方法可以通过反映体偏置,根据多个电源电压和多个时钟频率来改变用于片上系统的多个运行速度分布中的至少一个的至少一个角。参见图14和15,相对于根据多个电压-频率组合中的最快运行速度的电压-频率组合的第一运行速度分布1111,或者根据多个电源电压中的最高电源电压和多个时钟频率中的最高时钟频率的第一运行速度分布1111,可以调整慢角时序参数,以通过反映正向体偏置来将第一运行速度分布1111的慢角从第一慢角SSl增加到第二慢角SS2 (SlllO)0此外,相对于根据多个电压-频率组合中的最低功率消耗的电压-频率组合的第二运行速度分布1112,或者根据多个电源电压中的最低电源电压和多个时钟频率中的最低时钟频率的第二运行速度分布1112,可以调整快角时序参数,以通过反映反向体偏置来将第二运行速度分布1112的快角从第一快角FFl减小到第二快角FF2 (S1130)。基于与变化的角SS2和FF2相对应的调整的时序参数,可以实现包括无抽头标准单元的片上系统(S1150)。例如,可以基于调整的时序参数来执行片上系统的综合、安置和路由、和/或静态时序分析,从而可以对应于根据设计窗口减小而调整的时序参数,实现和制造包括无抽头标准单元的片上系统。根据示例实施例,在实现实施和制造片上系统期间,通过使用包括调整的时序参数的无抽头标准单元库,或者通过使用用于施加调整的时序参数的时序调整脚本,可以施加调整的时序参数。如上所述,因为根据多个电压-频率组合,多个运行速度分布中的至少一个的至少一个角发生变化,所以基于改变的角而设计的片上系统的运行速度可以增加,或者基于改变的角而设计的片上系统的功耗和尺寸可以减小。在通过图14中示出的设计方法来实现和制造的片上系统处于第二慢角SS2的情况下,正向体偏置可以施加到片上系统,而最高的电源电压被提供给片上系统并且片上系统工作在最高时钟频率。在通过图14中示出的设计方法来实现和制造的片上系统处于第二快角FF2的情况下,反向体偏置可以施加到片上系统,而最低的电源电压被提供到片上系统并且片上系统工作在最低时钟频率。因此,可以基本上保持片上系统的产出量。虽然图14和15示出了在其中,最快的运行速度的电压-频率组合的慢角和最低的功率消耗的电压-频率组合的快角发生变化的示例,但是根据示例性实施例,可以改变任何电压-频率的组合的至少一个角。例如,根据所有电压-频率组合的所有操作速度分布的慢角和快角可以发生改变。图16是示出根据示例实施例,包括无抽头标准单元的片上系统的示例的方框图。参照图16,可以将片上系统实现和制造为包括至少一个无抽头标准单元1210、存储器区1240、和体偏置发生器1250的集成电路1200。片上系统可以是基于标准单元,以半定制的方法来设计的,以及向其施加DVFS的任何片上系统。例如,片上系统可以是移动SOC、施加处理器、媒体处理器、微处理器、中央处理单元(CPU)等。可以通过反映体偏置,基于根据设计窗口减小而调整的时序参数来实现无抽头标准单元1210。也就是说,在设计无抽头标准单元1210时,可以重新设置慢角和/或快角。存储器区域1240可以存储有关重新设置慢角和/或快角的电压-频率组合的电压-频率设定信息1241,并且可以存储代表片上系统是否处于重新设置的角的体偏置信息1243。在一些示例性实施例中,存储器区1240可以利用非易失性存储装置来实现。在其他示例性实施例中,存储器区1240可以以寄存器或易失性存储装置来实现,并且在片上系统的初始化序列期间,可以从外部装置将电压-频率设定信息1241和体偏置信息1243提供给存储器区1240。体偏置发生器1250可以从内部或外部控制器,接收表示片上系统的当前电源电压的电压信息信号SVI和表示片上系统的当前时钟频率的频率信息信号SFI,并且可以从存储器区1240读取电压-频率设置信息1241和体偏置信息1243。在电压信息信号SVI和频率信息信号SFI指示在电压-频率设定信息1241中包括的电压-频率组合,并且体偏置信息1243指示片上系统处于重新设置的角的情况下,体偏置发生器1250可以将体偏置电压VNW和VPW施加到无抽头标准单元1210的PMOS晶体管1211和/或NMOS晶体管1213。根据示例实施例,体偏置发生器1250可以位于集成电路1200的内部或外部。如上所述,由于通过反映体偏置,基于根据设计窗口减小而调整的时序参数来实现片上系统,所以包括无抽头标准单元1210的片上系统的运行速度可以增加,并且无抽头标准单元1210和片上系统的功耗和尺寸可以减小。另外,由于体偏置被施加到重新设置的角的片上系统,所以虽然减小了设计窗口,但是仍可以基本上保持片上系统的产出量。图17是示出根据示例性实施例的片上系统的方框图。参照图17,诸如移动SOC的片上系统1300包括处理块1310、体偏置发生器1320、内部存储装置1330、显示控制器1340、电源管理块1350和总线1360。处理块1310可以执行特定的计算或任务。处理块1310可以经由总线1360来控制内部存储装置1330、显示控制器1340、和电源管理块1350。内部存储装置1330可以存储通过处理块1310处理的命令和/或数据。显示控制器1340可以控制外部显示装置。电源管理数据块1350可以控制片上系统1300的电源状态。根据示例实施例,片上系统1300可以进一步包括三维(3D)模块、存储器控制器、直接存储器存取(DMA)控制器、中断控制器
坐寸ο包括在片上系统1300中的至少一个块可以基于标准单元而以半定制方法来设计,并且可以在设计处理期间应用根据例如如上参考图1至16中的任何一个来描述的示例性实施例的设计窗口减小。例如,处理块1310可以包括无抽头标准单元,其中施加了根据示例实施例的设计窗口减小。体偏置发生器1320可以将体偏置电压施加到其中施加了设计窗口减小的无抽头标准单元。例如,体偏置发生器1320可以在片上系统1300处于重新设置的慢角的情况下,将正向体偏置电压施加到无抽头标准单元,并且可以在片上系统1300处于重新设置的快角的情况下,将反向体偏置电压施加到无抽头标准单元。如上所述,由于基于设计窗口减少来设计片上系统1300的至少一个块,所以可以提高根据示例性实施例的片上系统1300 (例如,移动S0C)的运行速度,并且可以降低片上系统1300的功耗和尺寸。
图18是示出根据示例性实施例的包括片上系统的计算系统的方框图。参照图18,计算系统1400包括处理器1410 (例如,移动S0C)、存储装置1420、用户接口 1430、存储装置1440、调制解调器1450 (例如,基带芯片组)、和总线1460。处理器1410可以执行特定的计算或任务。处理器1410可以是以半定制方法设计并且根据示例性实施例而实现设计窗口减小(例如,如参考图1-16中的任何一个来描述的设计窗口减小)的片上系统。例如,处理器1410可以是移动S0C、施加处理器、媒体处理器、微处理器、中央处理单元(CPU)、数字信号处理器等等。处理器1410可以通过诸如地址总线、控制总线、和/或数据总线的总线1460而耦合到存储装置1420。例如,存储装置1420可以通过动态随机存取存储器(DRAM)、移动DRAM、静态随机存取存储器(SRAM)、相位随机存取存储器(PRAM)、铁电随机存取存储器(FRAM)、电阻随机存取存储器(RRAM)、磁随机存取存储器(MRAM)等来实现。此外,处理器1410可以耦合到诸如外围组件互连(PCI)总线的扩展总线,并且可以控制用户接口 1430,其包括诸如键盘、鼠标、触摸屏等的至少一个输入装置,和诸如打印机,显示装置等的至少一个输出设备。此外,处理器1410可以控制诸如固态驱动器、硬盘驱动器、⑶-ROM等的存储装置1440。调制解调器1450可以执行与外部装置的有线或无线通信。在一些示例性实施例中,计算系统1400可进一步包括电源、施加芯片组、相机图像处理器(CIS)等。可以以诸如,堆叠封装(PoP)、球栅阵列(BGA)、芯片级封装(CSP)、塑料引线芯片载体(PLCC)、塑料双列直插式封装(PDIP)、晶片中管芯封装(Die in Waffle Pack)、晶圆中管芯形式(Die in Wafer Form)、板上芯片封装(COB)、陶瓷双列直插式封装(CERDIP)、公制塑料四方扁平封装(MQFP)、薄四方扁平封装(TQFP)、小外形集成电路(S0IC)、收缩型小外形封装(SSOP)、薄型小尺寸封装(TSOP)、系统级封装(SIP)、多芯片封装(MCP)、晶圆制造级封装(WFP)、或晶圆级处理堆叠封装(WSP)的各种形式来封装处理器1410。根据示例性实施例,计算系统1400可以是任何计算系统,诸如,移动电话、智能电话、音乐播放器、个人数字助理(PDA)、便携式多媒体播放器(PMP)、膝上型计算机、平板计算机、数字电视、数码相机、便携式游戏机等。示例性实施例可以施加到基于标准单元的半定制方法。例如,示例性实施例可以被施加于以半定制方法设计的任何半导体装置,诸如,移动S0C、施加处理器、媒体处理器、微处理器、中央处理单元(CPU)等。上述示例性实施例是说明性的,并且是不被解释为是限制性的。虽然已经描述了几个示例性实施例,但是本领域的技术人员应该容易理解,在不重大脱离示例性实施例的新颖教导和优点的情况下,可以进行许多修改。因此,所有的修改旨在被包括在如在权利要求中定义的示例性实施例的范围之内。因此,应该理解的是,上述的各种示例性实施例是说明性的,不应被解释为受限于公开的特定的示例性实施例,并且所公开的示例性实施例,以及其它示例性实施例的修改都旨在被包含在所附权利要求的范围内。
权利要求
1.一种设计包括施加体偏置的无抽头标准单元的片上系统的方法,所述方法包括: 调整慢角时序参数,以通过反映正向体偏置而增加用于片上系统的运行速度分布的慢角,所述慢角时序参数对应于片上系统的运行速度设计窗口的最低值; 调整快角时序参数,以通过反映反向体偏置而减小用于片上系统的运行速度分布的快角,所述快角时序参数对应于片上系统的运行速度设计窗口的最高值;以及 基于与增加的慢角相对应的调整的慢角时序参数、和与减小的快角相对应的调整的快角时序参数,来实现包括无抽头标准单元的片上系统。
2.根据权利要求1所述的方法,其中,慢角时序参数包括无抽头标准单元的慢角单元延迟、慢角设置 余量、以及慢角保持余量中的至少一个,并且 其中,快角时序参数包括无抽头标准单元的快角单元延迟、快角设置余量、和快角保持余量中的至少一个。
3.根据权利要求1所述的方法,其中,慢角变化步骤包括: 调整无抽头标准单元的慢角单元延迟。
4.根据权利要求3所述的方法,其中,减小慢角单元延迟以增加慢角。
5.根据权利要求1所述的方法,其中,快角变化步骤包括: 调整无抽头标准单元的快角单元延迟。
6.根据权利要求5所述的方法,其中,增加快角单元延迟以减小快角。
7.根据权利要求1所述的方法,其中,实现步骤包括: 通过使用包括调整的慢角时序参数和调整的快角时序参数的无抽头标准单元库来实现包括无抽头标准单元的片上系统。
8.根据权利要求1所述的方法,其中,实现步骤包括: 产生包括调整的慢角时序参数和调整的快角时序参数的无抽头标准单元库; 基于无抽头标准单元库,通过综合用于片上系统的寄存器传输级网表,从而生成门级网表; 基于无抽头标准单元库和门级网表,来安置和路由片上系统的组件;以及基于包括在无抽头标准单元库中的调整的慢角时序参数和调整的快角时序参数,来检验片上系统的时序。
9.根据权利要求1所述的方法,其中,实现步骤包括: 通过使用用于施加调整的慢角时序参数和调整的快角时序参数的时序调整脚本,来实现包括无抽头标准单元的片上系统。
10.根据权利要求1所述的方法,其中,实现步骤包括: 产生用于施加调整的慢角时序参数和调整的快角时序参数的时序调整脚本; 通过综合用于片上系统的寄存器传输级网表来生成门级网表; 响应于时序调整脚本来将调整的慢角时序参数和调整的快角时序参数施加到门级网表; 基于施加了调整的慢角时序参数和调整的快角时序参数的门级网表来安置和路由片上系统的组件;以及 基于响应于时序调解脚本而施加的调整的慢角时序参数和调整的快角时序参数来检验片上系统的时序。
11.一种用于设计包括施加了体偏置的无抽头标准单元的片上系统的设计系统,所述设计系统包括: 存储装置,所述存储装置被配置为加载用于设计片上系统的设计工具;以及 处理器,所述处理器被配置来执行加载在存储装置中的设计工具, 其中,通过处理器执行的设计工具导致设计系统执行: 慢角变化步骤,用于调整慢角时序参数,以通过反映正向体偏置而增加用于片上系统的运行速度分布的慢角,所述慢角时序参数对应于片上系统的运行速度设计窗口的最低值; 快角变化步骤,用于调整快角时序参数,以通过反映反向体偏置而减小用于片上系统的运行速度分布的快角,所述快角时序参数对应于片上系统的运行速度设计窗口的最高值;以及 实现步骤,用于基于与增加的慢角相对应的调整的慢角时序参数、和与减小的快角相对应的调整的快角时序参数,来实现包括无抽头标准单元的片上系统。
12.根据权利要求11所述的设计系统,其中,所述处理器被配置为用于执行设计工具,从而实现包括无抽头标准单元的片上系统包括使用包括调整的慢角时序参数和调整的快角时序参数的无抽头标准单元库。
13.根据权利要求11所述的设计系统,其中,所述处理器被配置为用于执行设计工具,从而实现包括无抽头标准单元的片上系统包括使用用于施加调整的慢角时序参数和调整的快角时序参数的时序调整脚本。
14.一种片上系统,包括: 向其施加体偏置的至少一个无抽头标准单元;以及 被配置为将体偏置电压施加到无抽头标准单元的体偏置发生器, 其中,通过执行以下步骤来实现片上系统: 慢角变化步骤,用于调整慢角时序参数,以通过反映正向体偏置而增加用于片上系统的运行速度分布的慢角,所述慢角时序参数对应于片上系统的运行速度设计窗口的最低值; 快角变化步骤,用于调整快角时序参数,以通过反映反向体偏置而减小用于片上系统的运行速度分布的快角,所述快角时序参数对应于片上系统的运行速度设计窗口的最高值;以及 实现步骤,用于基于与增加的慢角相对应的调整的慢角时序参数、和与减小的快角相对应的调整的快角时序参数,来实现包括无抽头标准单元的片上系统。
15.根据权利要求14所述的片上系统,其中,所述体偏置发生器被配置为如果片上系统处于增加的慢角中,则将正向体偏置电压施加到无抽头标准单元,并且所述体偏置发生器被配置为如果片上系统处于减小的快角中,则将反向的体偏置电压施加到无抽头标准单元。
16.根据权利要求15所述的片上系统,其中,所述体偏置发生器被配置为,如果片上系统处于增加的慢角中,则将低于电源电压的正向体偏置电压施加到在无抽头标准单元中包括的PMOS晶体管的体部,并且所述体偏置发生器被配置为如果片上系统处于减小的快角中,则将高于电源电压的反向体偏置电压施加到在无抽头标准单元中包括的PMOS晶体管的体部。
17.根据权利要求15所述的片上系统,其中,所述体偏置发生器被配置为,如果片上系统处于增加的慢角中,则将高于电源电压的正向体偏置电压施加到在无抽头标准单元中包括的NMOS晶体管的体部,并且所述体偏置发生器被配置为,如果片上系统处于减小的快角中,则将低于电源电压的反向体偏置电压施加到在无抽头标准单元中包括的NMOS晶体管的体部。
18.一种用于设计施加了动态电压频率缩放的片上系统的方法,所述片上系统包括施加了体偏置的无抽头标准单元,所述方法包括: 调整慢角时序参数,以通过反映正向体偏置,根据在多个电源电压中的最高电源电压和在多个时钟频率中的最高时钟频率来增加片上系统的第一运行速度分布的慢角,所述慢角时序参数对应于片上系统的运行速度设计窗口的最低值; 调整快角时序参数,以通过反映反向体偏置,根据在多个电源电压中的最低电源电压和在多个时钟频率中的最低时钟频率来减小片上系统的第二运行速度分布的快角,所述快角时序参数对应于片上系统的运行速度设计窗口的最高值;以及 基于与增加的慢角相对应的调整的慢角时序参数,和与减小的快角相对应的调整的快角时序参数,来实现包括无抽头标准单元的片上系统。
19.一种用于设计包括无抽头标准单元的片上系统的方法,所述方法包括: 确定具有由慢角时序参数和快角时序参数所限定的范围的运行速度设计窗口,所述慢角时序参数对应于片上系统的运行速度设计窗口的最低值的,所述快角时序参数对应于片上系统的运行速度设计窗口的最高值;以及 通过在片上芯片中包括体偏置发生器,来实现包括无抽头标准单元的片上系统,其中,所述体偏置发生器被配置为基于确定的运行速度设计窗口,通过将体偏置电压施加到无抽头单元来调整片上系统的运行速度。
20.根据权利要求19所述的方法,其中,通过将所述体偏置发生器配置为接收指示在片上系统的当前运行速度和慢角时序参数与快角时序参数的至少一个之间的比较的信息,以及通过基于比较,将体偏置发生器配置为将体偏置电压施加到无抽头标准单元,从而实现片上系统。
21.根据权利要求19所述的方法,其中,通过将体偏置发生器配置为将体偏置电压施加到无抽头标准单元,以使得片上系统的运行速度被调整到落入运行速度设计窗口中,来实现片上系统。
全文摘要
在设计包括施加体偏置的无抽头标准单元的片上系统的方法中,调整慢角时序参数,以通过反映正向体偏置来增加片上系统的运行速度分布的慢角,以及调整快角时序参数,以通过反映反向体偏置来减小片上系统的运行速度分布的快角。基于对应于增加的慢角的调整的慢角时序参数和对应于减小的快角的调整的快角时序参数来实现包括无抽头标准单元的片上系统。慢角时序参数对应于片上系统的运行速度设计窗口的最小值,并且快角时序参数对应于片上系统的运行速度设计窗口的最大值。
文档编号G06F17/50GK103207930SQ201310014808
公开日2013年7月17日 申请日期2013年1月16日 优先权日2012年1月16日
发明者金亨沃, 全宰汉, 崔晶然, 金基涉, 元孝植 申请人:三星电子株式会社
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1