一种基于LabVIEW快速封装VivadoIP核的方法

文档序号:37551634发布日期:2024-04-08 14:01阅读:9来源:国知局
本发明属于计算机,具体涉及一种基于labview快速封装vivadoip核的方法。
背景技术
::1、fpga(field programmable gate array)是一种可编程逻辑器件,它的基本原理是由可编程的逻辑单元(look-up table,lut)和可编程的连线构成,lut可以根据用户自定义的逻辑功能进行编程,而连线可以根据需要连接各个lut以实现所需的电路功能,在设计时,用户可以使用硬件描述语言(hdl)或图形化设计工具来定义所需的电路功能,然后将设计的电路功能烧入fpga芯片中,实现所需的数字电路功能;2、fpga的应用领域非常广泛,包括航空航天,通信,人工智能以及数字信号处理等领域,fpga以其高性能和高可靠性、芯片集成度高以及安全性和隐私保护性强等优点得到了广泛的应用,尽管fpga在计算机应用领域中得到了广泛的应用,但它也面临着一些挑战,其中最突出的困难为开发难度较大,需要掌握硬件设计和fpga编程技术,由于fpga的可编程性和可重构性,它的编程模型和开发工具也比较复杂,需要较高的技术水平;3、在fpga开发过程中,一款名为vivado的开发工具最受欢迎,它是由赛灵思(xilinx)公司推出的一款集成设计环境(ide),用于设计、实现和验证基于赛灵思fpga和soc的系统。vivado提供了多种设计方法,包括高层次综合(hls)、硬件描述语言(hdl)、ip核集成和图形化编辑等,其中,ip核是一种预先设计好的、可复用的、经过验证的电路功能模块,它可以通过vivado的ip核目录或ip核生成器来获取或创建。ip核可以大大提高fpga开发的效率和质量,因为它们可以避免重复设计相同或类似的电路功能,同时也可以利用已有的优化和验证技术;4、然而,vivado中设计ip核也存在一些问题和局限性,主要有以下几点:5、vivado中设计ip核可能涉及到不同抽象层次的设计,包括高层次综合(hls)和硬件描述语言(hdl),开发者需要同时熟练掌握这两种技术和语言,对开发者能力要求高;6、vivado中设计ip核需要对ip核进行全面的仿真和验证,可能需要耗费大量的时间和资源。确保正确性、性能和容错性是设计过程中的关键挑战,对开发者技术水平要求高;7、因此,如何简化和优化vivado中的ip核设计,提高开发者的效率和质量,是一个亟待解决的问题。技术实现思路1、本发明的目的是提供一种基于labview快速封装vivadoip核的方法,一方面降低了对开发者掌握能力的要求,即只需要掌握labview的图形化编程,而不需要对硬件描述语言和高层次综合的熟练运用,另一方面节约了验证ip核正确性的时间资源,即我们可以在labview中编译运行成功之后进行导出ip核。2、本发明采取的技术方案具体如下:3、一种基于labview快速封装vivadoip核的方法,包括以下步骤:4、s1:安装labview fpga ip export utility插件,并下载labview 2020fpgamodule和labview 2020fpga compilation tool for vivado;5、s2:安装设备终端驱动;6、s3:创建并编译用于ip导出的vi;7、s4:在程序规范中选择新建下的compilation,并依次填写生产名称和顶层vi;8、s5:在新的程序规范中选择export vi to netlist file;9、s6:导出后缀为.dcp和.vhd文件,在vivado软件上进行验证是否成功。10、所述s1中,使用labview fpga ip export utility前,需要先安装labview fpga模块。11、所述labview fpga ip export utility包含下述两种选项:12、一、labview fpga netlist export utility:将fpga算法导出为加密网表;13、二、labview fpga vhdl export utility:将fpga算法导出为加密网表或明文vhdl。14、所述s2中,设备终端驱动在nipackage manager中搜索并下载。15、所述s3中,创建和编译vi时,明确需要导出的模块的输入线和输出线,对于输入线和输出线所连接的控件,右击选择输出相应的输入控件/显示控件。16、所述s5中,若没有所述export vi to netlist file选项,则需检查ni licensemanager中fpga ip export utility的激活状态是否激活,其包含以下状态:17、a:若所述ni license manager中fpga ip export utility的激活状态没有激活,则需进行激活;18、b:若所述ni license manager中fpga ip export utility的激活状态已激活,则刷新即可。19、所述s6中,在vivado软件上验证是否成功,包括以下状态:20、c:若在所述vivado软件上验证不成功,则返回s3,重新对vi编译;21、d:若在所述vivado软件上验证成功,则结束。22、所述export vi to netlist file最后导出文件在下述位置处:23、x:\nifpga\compilation\<project-name>_<target-name>_<build-specname>_<unique-string>\source_files,其中x是安装labview的驱动器位置。24、本发明取得的技术效果为:25、本发明一方面降低了对开发者掌握能力的要求,即只需要掌握labview的图形化编程,而不需要对硬件描述语言和高层次综合的熟练运用,另一方面节约了验证ip核正确性的时间资源,即我们可以在labview中编译运行成功之后进行导出ip核。技术特征:1.一种基于labview快速封装vivadoip核的方法,其特征在于,包括以下步骤:2.根据权利要求1所述的一种基于labview快速封装vivadoip核的方法,其特征在于:所述s1中,使用labview fpga ip export utility前,先安装labview fpga模块。3.根据权利要求2所述的一种基于labview快速封装vivadoip核的方法,其特征在于:所述labview fpga ip export utility包含下述两种选项:4.根据权利要求1所述的一种基于labview快速封装vivadoip核的方法,其特征在于:所述s2中,设备终端驱动在nipackage manager中搜索并下载。5.根据权利要求1所述的一种基于labview快速封装vivadoip核的方法,其特征在于:所述s3中创建和编译vi时,明确需要导出的模块的输入线和输出线,对于输入线和输出线所连接的控件,右击选择输出相应的输入控件/显示控件。6.根据权利要求1所述的一种基于labview快速封装vivadoip核的方法,其特征在于:所述s5中,若没有所述export vi to netlist file选项,则需检查ni license manager中fpga ip export utility的激活状态是否激活,其包含以下状态:7.根据权利要求1所述的一种基于labview快速封装vivadoip核的方法,其特征在于:所述s6中,在vivado软件上验证是否成功,包括以下状态:8.根据权利要求6所述的一种基于labview快速封装vivadoip核的方法,其特征在于:技术总结本发明属于计算机
技术领域
:,具体涉及一种基于LabVIEW快速封装VivadoIP核的方法,包括以下步骤,S1:安装LabVIEW FPGA IP Export Utility插件,并下载LabVIEW 2020FPGA Module和LabVIEW 2020FPGA Compilation Tool for Vivado,S2:安装设备终端驱动,S3:创建并编译用于IP导出的VI,S4:在程序规范中选择新建下的compilation,并依次填写生产名称和顶层VI,S5:在新的程序规范中选择Export VI to Netlist File,S6:导出后缀为.dcp和.vhd文件,在Vivado软件上进行验证是否成功,所述S1中,使用LabVIEW FPGA IP Export Utility前,需要先安装LabVIEW FPGA模块。本发明一方面降低了对开发者掌握能力的要求,即只需要掌握LabVIEW的图形化编程,而不需要对硬件描述语言和高层次综合的熟练运用,另一方面节约了验证IP核正确性的时间资源,即我们可以在LabVIEW中编译运行成功之后进行导出IP核。技术研发人员:韩帅,张昊嘉,陈祖挺,乔俊博,陈晧,郭承钰,胡熠受保护的技术使用者:哈尔滨工业大学技术研发日:技术公布日:2024/4/7
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1