基于usb单片机的fpga/cpld程序下载器的制造方法

文档序号:9139390阅读:683来源:国知局
基于usb单片机的fpga/cpld程序下载器的制造方法
【技术领域】
[0001]本实用新型涉及数据传输领域,尤其是基于USB单片机的FPGA/CPLD程序下载器。
【背景技术】
[0002]随着片上系统(SoC,System on Chip)时代的到来,包括复杂可编程逻辑器件(CPLD, Complex Programmable Logic Device)和现场可编程门阵列(FPGA,FieldProgrammable Gate Array)的可编程逻辑器件(具有在系统可再编程的独特优点),应用越来越广泛。这给用于可编程逻辑器件编程的下载器提出了更高的要求。
[0003]下载器,就是Download Cable。其实下载器是多年以来沿用的习惯叫法,下载器的作用就是用来下载配置数据下载的目标可以是CPLD器件,也可以FPGA器件。我们还可以通过下载器将配置数据烧写到串行配置器件和增强配置器件中。它同时也是调试FPGA硬件和调试嵌入式软核处理器的工具。FPGA/CPLD下载器主要包括USB下载器与并口下载器,其中并口下载器其需要使用并口接口,而目前许多笔记本电脑,甚至台式机都不再配备并口,此时并口下载器将无法使用。此外并口的使用不支持热插拔,且当使用并口下载器下载器调试嵌入式逻辑分析仪和嵌入式软核处理器时,如果数据交换的速率太快,都不可避免地出现死机。为解决上述技术问题在开发FPGA时使用USB下载器具有明显优势。一方面,下载程序速度明显快。如今FPGA的容量已达到数百万门,即使是通过JTAG 口下载程序,也需要很长时间,USB下载器则可以明显缩短下载时间。另一方面,程序调试更加方便快捷,甚至可以作一些实时调试。这一点在使用嵌入式逻辑分析仪和调试嵌入式处理器时十分重要。
【实用新型内容】
[0004]为解决上述技术问题,本实用新型提供了基于USB单片机的FPGA/CPLD程序下载器,支持JTAG在线调试模式,主动串行下载模式,以及被动串行下载模式,并支持嵌入式逻辑分析仪以及试嵌入式软核处理器的实时调试。
[0005]为了达到上述目的,本实用新型提供如下技术方案:
[0006]基于USB单片机的FPGA/CPLD程序下载器包括:USB接口,USB单片机芯片,电平缓冲芯片,JTAG下载接口,所述USB接口可以为各种规格,如A,B型,或者min1-usb接口,它连接计算机主机的USB接口和USB单片机芯片。
[0007]其中,电平缓冲芯片是负责将单片机芯片输出的JTAG数据转换到所需下载的目标器件所能识别的电平范围。目前CPLD和FPGA的JTAG电平范围从1.2V 一 5V,而USB单片机芯片所输出的JTAG电平范围往为3.3V或5V,所以需要采用缓冲芯片以满足电平转换的需要,从而以支持全系列CPLD和FPGA,其型号可以(但不仅限于)为74HC244,74LVC244,MAX3378等。电平缓冲芯片的电源(JTAG_Vcc)是所需下载的目标板的JTAG接口电源供给的,从而实现不同目标板器件电压的自动匹配。
[0008]其中,JTAG下载接口一般采用标准1pin标准接口,采用IDC10接头,其连接电平缓冲芯片与所需下载FPGA/CPLD目标板的JTAG接口
[0009]由于USB下载电路涉及了 IEEEl 149.1标准和USB协议,这里我们选择包含USB控制器的USB单片机芯片,其型号可以(但不仅限于)为PIC18F14k50PIC单片机芯片,CY7C68013FX2单片机,或C8051F320单片机芯片。其主要功能有两项,实现USB物理层和链路层协议的解析和完成USB串行总线数据向满足IEEE1149.1标准的编程数据和指令的转换。
[0010]其中,实现USB物理层和链路层协议的解析:一方面从电脑主机通过USB串行总线接收数据;另一方面将FPGA/CPLD JTAG接口发送来的指令与数据转换为USB串行数据格式传回电脑主机。
[0011]其中,完成USB串行总线数据向满足IEEE1149.1标准的编程数据和指令的转换主要包括:一方面USB串行总线数据转换为符合IEEE1149.1标准的编程数据和指令,从TCK、TMS和TDI串行输出到要编程的FPGA/CPLD器件;另一方面,从要编程的FPGA/CPLD器件返回的符合IEEE1149.1标准的数据和指令从TDO串行输入到USB控制器,提取相应并行数据,并将其转换为USB串行数据格式。
[0012]本实用新型的有益效果是:本实用新型提供了基于USB单片机的FPGA/CPLD程序下载器,具有成本低、电路结构简单、编程速度快、支持热插拔、电压兼容性强等明显优点。其为基于FPGA/CPLD电子系统设计提供了一种高速、稳定、低成本、便捷的下载器解决方案。
[0013]为了能更进一步了解本实用新型的特征以及技术内容,请参阅以下有关本实用新型的详细说明与附图,然而附图仅提供参考与说明用,并非用来对本实用新型加以限制。
【附图说明】
[0014]下面结合附图,通过对本实用新型的【具体实施方式】详细描述,将使本实用新型的技术方案及其它有益效果显而易见。
[0015]图1是本实用新型的结构示意图;
[0016]图2基于PIC18F14k50PIC单片机芯片与SN74LVC244缓冲器实现的FPGA/CPLD下载器电路原理图。
【具体实施方式】
[0017]为更进一步阐述本实用新型所采取的技术手段及其效果,以下结合本实用新型的优选实施例及其附图进行详细描述。
[0018]请参阅图1-2,一种基于PIC18F14k50PIC单片机芯片与SN74LVC244电平缓冲芯片实现的FPGA/CPLD下载器方案作为具体实施实例对本发明作进一步说明。注意本实例仅作为本发明所属方案的其中一种具体实施方案,而其所采用的PIC18F14k50PIC单片机芯片实际可以为任意型号的包含USB控制器的单片机芯片,类似地SN74LVC244电平缓冲芯片也可采用其它类似功能的芯片所替代,如74HC244,MAX3378等。
[0019]该具体实施方案的的电路原理图如图2所示。其主要包括USB接口,PIC18F14k50PIC单片机芯片,SN74LVC244电平缓冲芯片,JTAG下载接口。当然,原理图中还包括一些外围电路,如晶振CRYSTAL,过流保险丝FUSE,LED指示灯DSl等,这些外围电路不是本方案的核心部分,故在之前没有一一详细说明。
[0020]在该具体实施方案中,下载器的USB接口为方口 B型连接器,它连接计算机主机的USB接口和PIC18F14k50PIC单片机芯片,PIC18F14k50PIC单片机芯片实现。其一,实现USB物理层和链路层协议的解析:一方面从电脑主机通过USB串行总线接收数据;另一方面将FPGA/CPLD JTAG接口发送来的指令与数据转换为USB串行数据格式传回电脑主机。其二,完成USB串行总线数据向满足IEEE1149.1标准的编程数据和指令的转换主要包括:一方面USB串行总线数据转换为符合IEEE1149.1标准的编程数据和指令,从TCK、TMS和TDI串行输出到要编程的FPGA/CPLD器件;另一方面,从要编程的FPGA/CPLD器件返回的符合IEEEl 149.1标准的数据和指令从TDO串行输入到USB控制器,提取相应并行数据,并将其转换为USB串行数据格式。
[0021]在该具体实施方案中,从PIC18F14k50PIC单片机芯片输出的JTAG数据端口 TCK、TMS、TD0和TDI连接于SN74LVC244电平缓冲芯片,其具体连接方式详见图2的电路原理图。SN74LVC244电平缓冲芯片可以实现从SN74LVC244输入输出端口的5V电平转换为1.8V,
2.5V、3.3V和5.0V (由外部电路所决定),从而可以支持1.8V,2.5V、3.3V和5.0V的FPGA/CPLD器件。电平缓冲芯片的电源(JTAG_Vcc)连接于JTAG接口的第四管脚,即所需下载的目标板的JTAG接口电源,从而实现不同目标板器件电压的自动匹配。
[0022]在该具体实施方案中,JTAG下载接口采用标准1pin标准接口,采用IDClO接头,其连接电平缓冲芯片与所需下载FPGA/CPLD目标板的JTAG接口。该方案实现的FPGA/CPLD下载器支持JTAG在线调试模式,主动串行下载模式,以及被动串行下载模式,并支持嵌入式逻辑分析仪以及嵌入式软核处理器的实时调试。
[0023]以上所述,仅为本实用新型的【具体实施方式】,但本实用新型的保护范围并不局限于此,任何熟悉本技术领域的技术人员在本实用新型揭露的技术范围内,可轻易想到变化或替换,都应涵盖在本实用新型的保护范围之内。因此,本实用新型的保护范围应所述以权利要求的保护范围为准。
【主权项】
1.基于USB单片机的FPGA/CPLD程序下载器,其特征在于,包括:USB接口、USB单片机芯片、电平缓冲芯片、JTAG下载接口,所述USB接口连接计算机主机的USB接口,所述电平缓冲芯片连接于JTAG数据端口,所述JTAG下载接口其连接电平缓冲芯片。2.根据权利要求1所述的基于USB单片机的FPGA/CPLD程序下载器,其特征在于,所述USB接口可以为各种规格,它连接计算机主机的USB接口和USB单片机芯片。3.根据权利要求1所述的基于USB单片机的FPGA/CPLD程序下载器,其特征在于,所述USB单片机芯片包含USB控制器的单片机芯片。4.根据权利要求1所述的基于USB单片机的FPGA/CPLD程序下载器,其特征在于,所述电平缓冲芯片将USB单片机输出的JTAG数据转换到所需下载的目标器件所能识别的电平范围。5.根据权利要求1所述的基于USB单片机的FPGA/CPLD程序下载器,其特征在于,电平缓冲芯片的电源(JTAG_Vcc)为所需下载的目标板的JTAG接口电源供给的。6.根据权利要求1所述的基于USB单片机的FPGA/CPLD程序下载器,其特征在于,JTAG下载接口一般采用1pin标准接口,其连接电平缓冲芯片与所需下FPGA/CPLD目标板的JTAG 接口。
【专利摘要】本实用新型涉及数据传输领域,尤其是基于USB单片机的FPGA/CPLD程序下载器。该基于USB单片机的FPGA/CPLD程序下载器主要包括:USB接口、USB单片机芯片、电平缓冲芯片、JTAG下载接口、所述USB接口连接计算机主机的USB接口,所述电平缓冲芯片连接于JTAG数据端口,所述JTAG下载接口其连接电平缓冲芯片。本实用新型的优点是:具有成本低、电路结构简单、编程速度快、支持热插拔、电压兼容性强等明显优点,其为基于FPGA/CPLD电子系统设计提供了一种高速、稳定、低成本、便捷的下载器解决方案。
【IPC分类】G06F9/445
【公开号】CN204808307
【申请号】CN201520251876
【发明人】左超
【申请人】南京锆石光电科技有限公司
【公开日】2015年11月25日
【申请日】2015年4月24日
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1