描述适于动态修改片上系统扫描路径的组件的方法和装置的制作方法

文档序号:6145037阅读:307来源:国知局
专利名称:描述适于动态修改片上系统扫描路径的组件的方法和装置的制作方法
技术领域
本发明涉及印刷电路板领域,更具体地,涉及印刷电路板的测试。
技术背景 联合测试行动组(JTAG)是指针对测试访问端口的IEEE 1149标准,用于使用边界 扫描来测试印刷电路板。自动测试产生(ATG)工具使用JTAG来测试印刷电路板。已经开发 了边界扫描描述语言(BSDL)作为板级JTAG的IEEE 1149. 1标准的一部分,并且还已经开 发了分级扫描描述语言(HSDL)作为BSDL的扩展。BSDL/HSDL描述了在板上或板的组件上 可用的资源(其中,HSDL描述了由其他组件构成的组件)。虽然BSDL/HSDL对于板级JTAG 是高效的,但是从板级JTAG至片级JTAG的发展突出了 BSDL/HSDL的局限性。正在对指令JTAG (IJTAG)进行标准化(表示为P1687标准),来克服与从板级JTAG 至片级JTAG的转换相关联的现有JTAG局限性;然而,与IJTAG相关联的进行中的工作已经 揭示出,BSDL/HSDL不能够满足片级JTAG测试的描述需求。BSDL/HSDL依赖于组成边界扫 描寄存器的单元的有序列表,然而,这样的静态描述不适合描述IJTAG中所需的复杂动态 扫描链。此外,BSDL/HSDL不能提供用于描述系统的每个组件所需的测试过程的空间。

发明内容
本发明提供了一种用于片级JTAG测试的新硬件描述语言。这种新硬件描述语言 被称作新BSDL(NSDL),使得能够描述片上系统的测试资源,从而使得能够以便于片上系统 测试的方式来描述片上系统。本发明提供了一种自下至上的方法来描述片上系统。本发明 支持片上系统的每个组件的算法描述,并且支持片上系统的组件之间的互连的算法描述, 从而能够产生整个片上系统或部分片上系统的算法描述。本发明支持适于动态修改片上系 统的扫描路径的器件(这里被称作交叉器件),包括用于描述这种器件以及使用这种器件 的来执行片上系统测试的方法。在一个实施例中,提供了一种使用适于对片上系统的组件的访问进行控制的器件 来进行测试的方法。在一个这样的实施例中,该方法包括接收对经由架构而互连的输入连 接集合和输出连接集合的描述,该架构适于动态地控制对组件的访问;以及存储对经由架 构而互连的输入连接集合和输出连接集合的描述,其中,输入连接集合包括扫描路径输入 连接以及至片上系统的组件的至少一个组件访问输入连接,输出连接集合包括扫描路径输 出连接以及来自片上系统的组件的至少一个组件访问输出连接。在一个实施例中,提供了一种用于测试片上系统的组件的方法,其中,片上系统包 括扫描路径,组件包括至少一个寄存器。在一个这样的实施例中,该方法包括通过对组件 的算法描述进行处理,将组件的至少一个函数转换成针对该组件的至少一个寄存器的至少 一个寄存器值;通过对片上系统的算法描述进行处理,来定位片上系统的拓扑内所述组件 的位置;通过对交叉器件的算法描述进行处理来驱动交叉器件(其中,交叉器件适于动态 地将所述组件添加至片上系统的扫描路径);以及使用针对所述组件的至少一个寄存器值以及片上系统的拓扑内所述组件的位置,来测试组件。


结合附图,通过考虑以下详细描述,能够容易理解本发明的教导,在附图中图1示出了测试环境的高级框图;图2示出了图1的测试环境的片上系统的高级框图;图3示出了对“无访问”组件的输入_输出认识;图4示出了对“受限访问”或“全访问”组件的输入-输出认识;图5示出了对组件的内部扫描路径的片(slice)的显式引用;图6示出了交叉器件的表示的高级框图;图7示出了使用通用交叉器件来动态修改片上系统的扫描路径的高级框图;图8示出了可以使用NSDL描述的一个交叉器件的高级框图;图9示出了可以使用NSDL描述的一个交叉器件的高级框图;图10示出了可以使用NSDL描述的一个交叉器件的高级框图;图11示出了图1的测试环境的测试系统的高级框图;图12示出了通过JTAG连接来测试系统的图1的测试系统执行的示例方法;图13示出了通过JTAG连接来测试系统的图1的测试系统执行的示例方法;图14示出了通过JTAG连接来测试系统的图1的测试系统执行的示例方法;图15示出了使用图2的片上系统的组件之一的描述来确定用于测试该组件的测 试过程的寄存器比特值;图16示出了使用图2的片上系统的组件的描述来确定用于测试图2的片上系统 的组件之一的测试过程的比特流;图17示出了在IJTAG/NSDL框架中测试系统的组件的图1的测试系统执行的示例 方法;图18示出了示例片上系统的高级框图;图19示出了使用图18的片上系统的组件之一的描述来确定用于测试该组件的测 试过程的寄存器比特值;图20示出了使用图18的片上系统的组件的描述来确定图18的片上系统的组件 的描述;图21示出了并行访问接口的通用连接方案的高级框图;图22是示出了两个示例并行访问连接方案的高级框图;图23A示出了示例测试环境的高级框图;图23B示出了图23A的示例测试环境内的数据流的高级框图;图24示出了并行端口与片上系统的核心之间的示例连接的高级框图;图25示出了并行端口与片上系统的核心之间的示例连接的高级框图;图26示出了并行端口与片上系统的核心之间的示例连接的高级框图;图27示出了并行端口与片上系统的核心之间的示例连接的高级框图;图28示出了并行访问接口的内部连接方案的高级框图;图29示出了用于描述片上系统的测试资源的方法;以及
图30示出了适合于执行本文描述的函数的通用计算机的高级框图。为便于理解,在可能的情况下,使用相同的附图标记来指示附图中相同的元件。
具体实施例方式如这里所述,正在将指令JTAG(IJTAG)标准化(被称作P1687标准,或备选地, IJTAG)来克服与从板级JTAG测试至片级JTAG测试的转换相关联的现有JTAG局限性;然 而,与IJTAG相关联的进行中的工作已经揭示出,BSDL/HSDL不能够满足片级边界扫描的描 述需求。本发明提供了一种克服用于片级JTAG测试的BSDL/HSDL的局限性的新硬件描述 语言。这种新硬件描述语言在这里被称作新BSDL(NSDL)。NSDL语言实现了片上系统的测 试资源的描述,从而便于片上系统的测试。从以下NSDL描述中可以看到NSDL描述语言的 许多优点。如这里所述,新硬件描述语言NSDL还实现了基于JTAG的测试中的附加改进。NSDL 描述语言使得能够使用所谓的“交叉器件”来便于片上系统器件的测试。交叉器件实现了 对片上系统的系统扫描路径的动态修改。NSDL描述语言还使得能够使用并行访问来便于片 上系统器件的测试。可以多种方式来提供对片上系统器件的并行访问。此外,应当注意,虽 然这些改进已由NSDL描述语言实现,但是这些改进也可以结合今后开发的其他描述语言 来利用。在硬件开发过程中,有三个主要参与方器件供应商、系统架构师、以及测试工程 师。器件供应商制造特定器件。系统架构师使用由器件供应商提供的器件来构成系统。测 试工程师对该系统进行测试,以确保该系统正确运行(例如,测试系统器件之间的互连、器 件函数、系统函数等)。NSDL语言可以由器件供应商使用(例如,来描述其器件),由系统 架构师使用(例如,用于构成系统),以及由测试工程师使用(例如,用于测试该系统)。因 此,预期的是在整个硬件开发过程中使用NSDL语言。在片上系统开发过程中,组成系统的器件可以是“软”器件,S卩,使用某种硬件描述 语言的器件描述。在该过程中,系统架构师在系统级开发流中将软器件与系统级代码集成, 以获得最终由测试工程师进行测试的片上系统。由于片上系统的复杂度增加(例如,在器 件数目、器件之间的互连、器件内依赖性、器件间依赖性等方面),测试片上系统的复杂度也 增加。NSDL语言使得能够容易描述并从而容易测试任何复杂度的片上系统。图1示出了测试环境的高级框图。具体地,测试环境100包括片上系统(S-o-C)llO 和测试系统(TS) 120。TS 120测试S-O-C 110 (例如,测试S-o_C 110的各个单独组件(包 括组件函数)、S-o-C 110上器件之间的互连、S-o-C 110的系统级函数等,及其各种组合)。 TS 120经由测试访问端口 (TAP) 115测试S-o-C 110,该测试访问端口(TAP) 115包括输入 端口 115工(表示为TDI端口)以及输出端口 115。(表示为TDO端口)。在一个实施例中,在P1687环境中,通过IEEE 1149. 1标准描述TAP115。尽管这 里主要使用TDI端口 115I*TD0端口 115。示出和描述,但是TAP 115可以包括其他控制端 口,例如,TCK端口、TMS端口、以及可选地,TRST端口(出于清楚描述目的已经省略)。此 外,尽管主要关于由IEEE 1149. 1标准描述的TAP来示出和描述,但是TAP 115可以利用各 种其他端口(例如,由其他标准描述的端口等,及其各种组合)。TS 120使用测试过程(test procedure)对S-o-C 110进行测试。TS 120可以使
5用一个或多个测试过程来执行一个或多个测试。测试过程可以用于测试组件的一部分(例 如,组件函数、组件函数集、组件内依赖性等)、组件、一组组件(例如,组件之间的互连、组 件间依赖性等)、一个或多个系统级函数等,及其各种组合。TS 120产生测试过程来测试S-O-C 110。测试过程指定测试S-Q-CllO所需的信 息。针对S-O-C 110的测试过程可以指定S-O-C 110的描述(包括对S-O-C 110的各个单 独组件中的每一个的描述,以及S-O-C 110的系统级描述)。测试过程可以指定输入测试向 量和预期输出测试向量。测试过程可以包括与测试相关联的其他信息,例如,测试所需的估 计的时间、针对测试输出数据处理(例如,日志记录、错误触发、恢复动作等)等,及其各种 组合。TS 120使用S-O-C 110的描述(包括对S_0_C 110的各个单独组件中的每一个的 描述,以及S-O-C 110的系统级描述)来产生测试过程以测试S-O-C 110。可以使用NSDL 来指定对S-O-C 110的各个单独组件的描述。单独组件的描述可以描述该组件的内部扫描 路径。可以使用NSDL来指定S-O-C 110的系统级描述。S-O-C 110的系统级描述可以描述 S-O-C 110的拓扑(例如,组件之间的互连、组件间依赖性等)。S-O-C 110的描述信息(包括各个单独组件的描述、系统级描述等)包括用于为 S-O-C 110产生测试过程的信息。例如,描述信息包括组件扫描路径信息、系统拓扑信息等, 可以对这些信息进行处理以确定扫描路径长度信息、扫描路径分级信息等,及其各种组合。 S-O-C 110的描述信息可以包括这里描述的任何其他描述信息。TS 120通过对S-O-C 110执行一个或多个测试过程来测试S-o-CllO。TS 120为 要执行的测试产生输入比特流和预期测试结果(例如,预期输出比特值或输出比特流)。TS 120向TDI端口 115工提供输入比特流(被称作输入测试向量),并接收来自TDO端口 115。 的相应输出比特流(被称作输出测试向量)。TS 120将实际输出比特流与预期输出比特流 进行比较,以确定测试结果。TS 120可以存储测试结果。TS 120可以执行一个或多个测试过程来测试S-O-C 110。TS 120可以以某种方式 组织对多个测试过程的执行,来最小化总测试时间(由于对于相同的测试过程的集合,不 同调度决策会得到不同的测试完成时间)。TS 120可以指定测试调度(即,对执行测试过 程所必须按照的顺序进行指定的调度)。TS 120可以执行与片上系统的测试相关联的多种 其他函数。图2示出了图1的测试环境的片上系统的高级框图。如图2所示,S-O-C 110包 括多个组件210A-210E(共同示为组件210),这些组件通过多个组件互连220 (共同示为组 件互连220)而相互连接。组件210可以包括可以包括在片上系统中的任何组件。可以使用NSDL来描述组 件210。组件210在这里也可以被称作测试资源。在一个实施例中,在根据P1687标准的系统中,组件210可以包括IP、仪器 (instrument)、和/或选择仪器比特(SIB)。知识产权(IP)器件是需要测试的正常器件。仪器是不需要测试的、提供适于帮助测试的功能(例如,读取值、监视值、提供有 用信息等,及其各种组合)的器件。例如,仪器可以是要用于对加速寿命测试进行参数化的 温度传感器的输出。例如,仪器可以是对于软件定义的无线电装置的获取阶段,用于校准可调谐滤波器的传感器的参考值。换言之,仪器可以帮助在初始系统测试期间以及在整个系 统寿命期间进行测试。由于IP/仪器可能非常类似,这里可以交换地使用这两个术语。此外,由于IP和 仪器可以用作片上系统的组件,因此更一般地,IP和仪器在这里可以被称作组件。IP/仪器可以包括分级扫描路径。具有分级扫描路径的组件包括内部扫描路径,当 将该组件引入到系统中时,该内部扫描路径成为系统扫描路径的一部分。SIB是分级扫描路径单元,其使得能够动态地将扫描路径的一部分包括在扫描路 径中或从扫描路径中去除(这取决于测试中将会使用哪个或哪些器件)。SIB形成当前 P1687标准草案的硬件提议的一部分。一般而言,分级改善了对片上系统的组件的测试。例如,分级实现了测试期间对有 源系统扫描路径的最小化以及对组件的隔离,从而减少了对片上系统的组件的访问时间。在其他实施例中,在根据其他标准的系统中,组件210可以包括其他类型的组件。在一个实施例中,组件210可以包括一个或多个交叉器件。片上系统测试中对交 叉器件的使用是通过使用NSDL语言来实现的(即,大多数这样的器件不能由BSDL/HSDL来 描述)。参照图6-10可以更好地理解片上系统测试中对交叉器件的使用。如图2所示,组件210中的每一个包括多个内部寄存器。具体地,组件210A包括 三个寄存器(A。、A^ A2),组件210b包括六个寄存器(B。、B。B2、B3> B4, B5),组件210c包括五 个寄存器(Cc^CrCyCyC4),组件210d包括三个寄存器(D0,D1,D2),以及组件210E包括四个 寄存器(Ep E1, E2, E3)。每个组件210的寄存器形成针对该组件210的内部扫描路径。可 以使用NSDL来描述每个组件210的内部扫描路径。如图2所示,每个组件210支持至少一个函数。具体地,组件210a支持三个函数, 组件210b支持四个函数,组件210。支持三个函数,组件210d支持两个函数,组件210e支持 一个函数。由每个组件210支持的函数分别利用每个组件210的寄存器(即,内部扫描路 径)。因此,可以使用NSDL来描述每个组件210支持的函数。如图2所示,S-O-C 110的组件210经由S_0_C 110的组件互连220连接。组件 210(即,组件210的内部扫描路径)和组件210之间的组件互连220形成从S-O-C 110的输 入测试端口(TDI)至S-O-C 110的输出测试端口(TDO)的系统扫描路径。可以使用NSDL来 定义系统扫描路径(例如,通过使用NSDL来定义各个单独组件中的每一个,以及使用NSDL 来定义系统的构成,从而形成基于NSDL的总体系统描述)。如这里所述,基于NSDL的S-O-C 110的总体系统描述是算法描述(即,由于在使 用相湖关联的算法的集合中,描述组件210、组件互连220、组件内以及组件间依赖性等中 的每一个)。S-O-C 110的算法描述包括适于在测试S-O-C 110时由TS 120使用的信息 (例如,扫描路径长度信息、扫描路径分级信息等,及其各种组合)。可以通过以下方式来描述片上系统描述片上系统的每个组件(例如,描述IP、仪 器、交叉器件(当使用时)等,及其各种组合),描述片上系统的拓扑(包括描述片上系统的 每个组件之间的互连、组件内以及组件间依赖性等,及其各种组合)。在一个实施例中,在根据P1687标准的系统中,组件可以包括IP、仪器、和/或选择 仪器比特(SIB),片上系统的描述需要每个IP/仪器的描述(例如,包括内部寄存器的含 义、要应用/观察的过程/比特流集合等),每个SIB的描述(当使用SIB时),系统扫描路径的构成的描述(即,扫描路径如何通过片上系统,包括每个组件的内部扫描路径如何通 过组件等)等,及其各种组合。
将IP插入到扫描链中,这能够实现通过扫描链对IP的测试。片上系统内对IP的 使用是根据针对IP的访问权限级别(APL)(例如,无访问、受限访问、全访问)而改变的。如果针对IP的APL是“无访问”,则测试工具不知道IP的内部,因此必须依赖于由 IP的供应商提供的信息。例如,必须提供针对IP的比特流集合(即,输入比特流和预期输 出比特流)。在这种情况下,认为该比特流为静态比特流。测试工具必须将针对IP的静态 输入比特流插入到针对片上系统的系统比特流中,并对IP的供应商所指定的相应输出比 特流进行处理。如果针对IP的APL是“全访问”,则测试工具完全知道IP的内部,包括用NSDL描 述的IP的内部扫描链以及用选择的描述语言描述的IP的源。在这种情况下,测试工具能 够直接计算针对IP的所需的输入比特流和预期输出比特流(例如,使用其自己的算法),或 者IP的供应商能够提供预先计算的比特流的集合(例如,作为静态和/或动态比特流)。如果针对IP的APL是“受限访问”,则测试工具仅有限地知道IP的内部。提供IP 的NSDL描述。IP的NSDL描述包括IP的内部扫描链的描述,以及可以用于测试IP的过程 集。在这种情况下,测试工具使用IP的描述,以产生在测试IP时使用的比特流(例如,输 入比特流和预期输出比特流)。将仪器插入到片上系统中,这能够实现了通过检查一些值或条件来测试片上系 统。仪器可以支持可用于测试目的的一个或多个函数。因此,虽然IP的描述仅包括测试IP 所需的过程集,但是仪器的描述还包括可以用于访问仪器的函数的过程(和/或比特流) 集。仪器的描述可以包括从构成仪器的寄存器的寄存器的值方面对仪器的函数的描述。因 此,使用NSDL,IP和仪器之间的唯一不同在于过程集。如这里关于IP和仪器所述,可以使用过程来指定IP和仪器的描述。过程可以视 为每次调用过程时要执行的原子指令的拼接。针对IP/仪器的过程描述可以取决于IP/仪器的APL。如果组件的APL是“无访问”,则过程可以表示为比特流值(即,要写入扫描路径中 的值以及要从扫描路径中读取的值)。如果组件的APL是“受限访问”或“全访问”,则对IP/仪器的扫描路径的知晓提供 了相对于IP/仪器的过程的表示的附加自由度,使得可以构成过程。过程的空间构成指示 可以如何使用不同过程的输入/输出来构成片上系统的系统扫描路径的输入/输出。时间 构成指示可以如何将过程顺序地应用于相同组件(例如,IP/仪器),以执行特定操作。此 外,过程可以嵌套至和/或嵌套自更大的过程和/或多个更小过程。过程包括过程属性(即,过程的描述)以及过程体。过程的描述可以包括以下信 息例如长度、忙模式指示、进入条件、退出条件、依赖性、内部扫描路径描述等,及其各种组
I=I O固定长度过程可以定义为始终占用相同量的时间来执行的过程。可变长度过程可 以定义为占用可变量的时间来执行的过程。可以使用其他时间值(例如,最佳和最差情况 时间、平均时间等,及其各种组合)来定义可变长度过程。在一个实施例中,必须为每个可 变长度过程提供至少一个退出条件。
可以从周期角度来表达过程长度(或者,在不能够用周期来表达的情况下,用诸 如秒等一些其他绝对项或时间的一些其他度量来表达)。例如,对于采取物理度量的仪器、 异步仪器、在非同步时钟域中进行操作的仪器等,不能从周期角度来表达过程长度。如果以 时间来表达过程长度,则测试工具可以确定周期计数(例如,利用对实际测试时钟周期的 知晓),或者估计周期计数(例如,使用参考时钟周期)。过程应当声明过程忙模式。如果在执行过程期间,扫描链的值不得改变,则过程的 忙模式为“保持”,(即,每次扫描访问必须将其重置为相同值)。例如,处于“保持”模式的 仪器可以是组合(combinatorial)器件(即,每次修改都会影响结果)。如果在过程的执行 期间,扫描链的值不重要,则过程的忙模式为“不理会”。例如,处于“不理会”模式的仪器可 以是仅当被触发时才对输入进行采样的任何器件。片上系统的描述还包括与片上系统相关联的依赖性的描述。片上系统的依赖性包 括组件内依赖性(片上系统的一个组件的函数或过程之间),以及组件间依赖性(片上系统 的不同组件的函数或过程之间)。可以以许多方式来指定依赖性的描述(例如,通过列出这 些依赖性、基于名称的链接等,及其各种组合)。例如,函数X可以通过〃函数X依赖于函数XI,X2,…,Xn的完成〃这样的指示 来描述,这意味着该函数X在每个所列的子函数完成之前不能开始其执行。例如,过程X可 以通过"过程X依赖于过程Y"这样的指示来描述,这意味着,出于多种原因,在执行过程X 之前,必须完成过程Y。可以以各种其他方式来描述片上系统的依赖性。应当声明片上系统的组件内和组件间依赖性。依赖性的声明使得测试工具能够执 行测试调度。在一个实施例中,可以将依赖性声明为该依赖性的过程的声明部分的一部分。 在一个实施例中,可以使用显式命名来声明依赖性。在一个这样的实施例中,通用参数可以 用于将外部依赖性与组件的符号名链接起来,其中该组件与该外部依赖性相关联。使用NSDL,可以以各种其他方式来执行依赖性的描述和声明。针对组件(或组件组)的一个过程(或多个过程)的构建基于组件的APL而变化, 组件的APL影响对与组件相关联的输入/输出信息的认知。图3示出了对“无访问”组件300的输入-输出认知。如果组件的APL是“无访 问”,则对于由组件支持的每个函数,函数体包括输入流信息(要用于构成输入比特流)、 函数长度和扫描路径长度信息、以及输出比特流信息(例如,预期的输出比特流、所需的输 出比特流处理等,及其各种组合)。图4示出了对“受限访问”或“全访问”组件400的输入-输出认知。如果组件的 APL是“受限访问”或“全访问”,则组件的内部扫描路径是已知的(即,组件的每个寄存器、 以及寄存器的拓扑是已知的)。可以将组件的内部扫描路径分成多个片(slice),这些片可 以分布在一个或多个分级级别上。可以以任何方式(例如,使用相邻级别的一个或多个寄 存器)来控制对不同分级级别的访问。参照图4示出和描述的组件,可以更好地理解将组 件的内部扫描路径分成多个分级级别。如图4所示,将内部扫描路径分成五个片(表示为片1、2、3、4、5)。内部扫描路径 的五个片分布在两个分级级别(表示为级别0、1)上。片1、2、3、4、5分别包括4个、4个、3 个、3个和2个寄存器。片1、2、5位于分级级别0中。片3和4位于分级级别1中。寄存器 (表示为寄存器Hl)控制内部扫描路径分级的级别0和级别1之间的访问。Hl寄存器控制内部扫描路径,使得对片3和4旁路(即,从内部扫描路径中排除它们),或者不对片3和4 旁路(即,在内部扫描路径中包括它们)。如图4所示,内部扫描路径的组成如下。片1的输入是TDI,并且片1的输出是片 2的输入。片2的输入是片1的输出,并且片2的输出是至Hl的第一输入(级别0输入)。 在级别0,H1的输入是片2的输出,并且Hl的输出是片5的输入。在级别1,H1的输出是片 3的输入,并且Hl的输入是片4的输出。片3的输入是Hl的输出(级别1输出),片3的 输出是片4的输入。片4的输入是片3的输出,片4的输出是Hl的输入(级别1输入)。 片5的输入是Hl的输出(级别0输出),以及片5的输出是TD0。在一个实施例中,将组件的内部扫描路径分成多个片是函数性,S卩,内部扫描路径 的每个片具有在其上操作的一个或多个片函数,可以使用一个或多个片过程来测试每个片 函数,每个片函数是可由测试工具独立调度的。在该实施例中,可以以类似于“无访问”组 件的函数体的构成方式,来构成在内部扫描路径的给定片上操作的函数体(即,使用输入 比特流信息、函数长度和扫描路径长度信息、输出比特流信息等、及其各种组合来描述函数 体)。因此,利用与内部扫描路径的不同片或片的组合一起操作的不同过程,可以以多 种方式来使用组件的内部扫描路径的划分。例如(参照图4),执行与片1相关联的第一过 程P1,以将数据写入片1中,从而触发组件中某个函数的执行,这得到片2中的存储或结果 数据以及片5中的差错数据。然后,可以执行两个附加过程P2和P5(分别操作于片2和5 上)以分别读取片2和5的值。因此,将内部扫描路径分成片(包括在多个分级级别上划 分),这提供了片上系统测试的很大灵活性。TS 120可以容易将算法过程转换成串行测试 比特流(例如,输入比特流和预期输出比特流)。由于可以开发用于使用组件的内部扫描路径的片的不同过程,所以利用内部扫描 路径的片的过程必须能够引用片(包括片内部的信号)。过程可以以任何方式引用片。在 一个实施例中,例如,过程可以使用显式命名来引用片。在一个这样的实施例中,向每个片 (即,寄存器或寄存器组)分配唯一名称,从而使得能够像访问记录一样来访问分级实例化 的片。关于图5示出和描述了使用显式命名来标识组件的片的示例。图5示出了对组件500的内部扫描路径的片的显式引用。如图5所示,组件500 包括具有六个片的12寄存器扫描路径,其中每个片由唯一名称指代。第一片是命名为BS_0 的单个寄存器。第二片是命名为BS_1的单个寄存器。第三片是命名为SCAN_4_BIT_0的四 个寄存器的串行链,该串行链包括命名为BS_0、BS_1、BS_2和BS_3的四个寄存器。第四片 是命名为An0ther_SCAN_4_BIT的四个寄存器的串行链,该串行链包括命名为BS_0、BS_1、 BS_2和BS_3的四个寄存器。第五片是命名为BS_2的单个寄存器。第六片是命名为BS_3 的单个寄存器。如这里所述,可以像访问记录一样来访问分级实例化的寄存器。例如,由箭头1指 示的寄存器的唯一名称为BS_1(即使两个其他寄存器也命名为BS_1,但可以看出,使用分 级命名如何确保了寄存器的唯一命名)。例如,由箭头2指示的片(即,寄存器组)的唯一 命名是SCAN_4_BIT_0。例如,由箭头3指示的寄存器的唯一命名为another_SCAN_4_BIT. BS_1。换言之,对于片和寄存器的任意数目的分级级别,能够使用唯一命名来引用内部扫描 路径的每个片、以及每个片的每个寄存器。
10
尽管这里主要关于可以用于定义组件的过程或过程集合的特定过程属性(例如, 过程长度、函数和过程依赖性、内部扫描路径描述、片描述、片引用属性等)进行示出和描 述,但是可以指定各种其他过程属性。用于描述过程的过程属性可以包括用于以支持片上 系统测试的方式来描述过程的任何信息。除了过程属性以外,过程还包括过程体。过程体包括过程的细节。过程体可以以 任何方式来实现。过程体不具有扫描路径的概念(而是,在片上并行进行访问,以及测试工 具将这些操作合并成对系统扫描路径的访问)。过程体可以使用多种语句、断言、嵌套过程 等,及其各种组合。在一个实施例中,其中,使用用VHDL语言实现的NSDL来描述片上系统(因此,使 用用VHDL语言实现的NSDL来描述与片上系统相关联的每个过程),可以使用VHDL语法来 表达过程体。过程的过程体可以以其他方式来表达,这取决于片上系统的描述的实现方式。通过NSDL容易提供组件的内部扫描路径的描述。在NSDL中,每个寄存器单元被认 为是实体,可以将寄存器单元分组成包(package)(例如,使用VHDL规则,其中NSDL实现为 VHDL的超集)。在NSDL中,使用两个通用参数,“precedent” (在前)和“following”(在 后),来描述每个寄存器实体,这两个参数可以用于通过显式引用寄存器实例来表达扫描 路径。寄存器实体的“precedent”参数指定至该寄存器实体的输入的源。寄存器实体的 “following”参数指定来自该寄存器实体的输出的目的地。因此,寄存器实体可以包括单个 寄存器、寄存器组(包括分级分组)等。针对与关于图5示出和描述的内部扫描链相对应的以下样本代码,可以更好地理 解组件的内部扫描路径的描述。以下样本代码示出了包括串行布置的四个基本边界扫描寄存器在内的简单扫描 路径,其中,第一边界扫描寄存器接收来自TDI端口的输入,第四边界扫描寄存器向TDO端 口提供输出BS_0:BS generic map (precedent = > " TDI " , following = >" BS_1");BS_1:BS generic map (precedent = > " BS_0" , following = >" BS_2");BS_2:BS generic map (precedent = > " BS_1" , following = >" BS_3");BS_3:BS generic map (precedent = > " BS_2" , following = >" TDO");可以将该4寄存器扫描链封装到实体中,并分级实例化。以下样本代码确切地示 出了如何将该4寄存器扫描链封装到实体中并分级实例化(最终用于描述SCAN_4_BIT_0 和 another_SCAN_4_BIT 寄存器实体)Entity SCAN_4_BIT isGeneric (precedent: string: = " TDI “ ;following: string: = " TDO " ) ;End entity ;Architecture A of SCAN_4_BIT isbegin
BS_0:BS generic map (precedent = > precedent, following = > ' BS_1 :BS generic map (precedent = >" BS_0 " ,following=〉" BS_2:BS generic map (precedent = >" BS_1" ,following=〉"
BS_1") BS_2〃 ); BS_3〃 );
BS_3:BS generic map (precedent = >" BS_2" , following => following)
end ;以下样本代码示出了图5的12寄存器扫描路径的表示(描述)(其中,如关于图5 示出和描述的,使用六个寄存器实体来表示12寄存器扫描路径,包括四个单独寄存器和由 4寄存器扫描链组成的两个寄存器实体)。BS_0:BS generic map (precedent = > " TDI “ , following = >" BS_1 〃 );BS_1:BS generic map(precedent = > “ BS_0 “ , following = > “ SCAN_4_ BIT_0〃 );SCAN_4_BIT_0:BS generic map(precedent = > “ BS_1 “ , following = >"another_SCAN_4_BIT");another_SCAN_4_BIT:BS generic map (precedent = > “ SCAN_4_BIT_0 “, following = >" BS_2〃 );BS_2:BS generic map(precedent = >" another_SCAN_4_BIT" , following = >"BS_3");BS_3:BS generic map (precedent = > " BS_2" , following = >" TDO");使用这种类型的描述,测试工具可以在编译时间(例如,使用上下文校验)自动计 算与扫描路径有关的信息(例如,其长度、其分级结构等,及其各种组合)。此外,寄存器实 体的描述是高度可再用的(例如,用于其他设计)。由于能够以许多不同方式来收集、存储 以及校验针对寄存器实体的描述信息(即,由于符号表的实现方式在编译器之间可能发生 显著变化,例如,在散列表、数据库等方面),所以出于清楚和一般性的目的,省略其细节。此外,由于使用这种类型的描述,容易描述片上系统的每个组件,因此可以通过对 单独组件的描述进行组合来获得片上系统的总体系统描述(包括组件之间互连的描述,组 件间依赖性的描述等,及其各种组合)。在其他工作中,NSDL为描述(因此,测试)片上系 统配置提供显著灵活性。如这里所述,NSDL除了能够描述形成片上系统的扫描路径的一部分的典型组件以 外,NSDL还能够描述能够实现片上系统扫描路径的动态改变的器件。用NSDL术语,这种类 型的器件被称作“交叉器件”。参照图6示出和描述了交叉器件的通用表示。图6示出了交叉器件的表示的高级框图。具体地,交叉器件表示600代表能 够动态修改片上系统的扫描路径的交叉器件。交叉器件将一个或多个输入(称作支流 (affluent))路由至一个或多个输出(称作分支(tributarie))。如图6所示,交叉器件表 示600包括多个支流(表示为path_in_0至path_in_m)以及多个分支(表示为path_out_0 至 path_out_n)。为了在测试片上系统时利用交叉器件,必须描述交叉器件。使用BSDL/HSDL很难 (如果不是不可能的话)实现交叉器件的动态可变扫描路径的描述,BSDL/HSDL专门被设计 为处理静态扫描路径。相反,NSDL能够容易提供交叉器件的动态可变扫描路径的描述,NSDL 提供由测试工具容易理解的交叉器件的算法描述。使用NSDL的交叉器件的通用描述如下IP<entity name)isGeneric (precedent: string: =〃 TDI 〃 ;
following: string: =" TDO〃 ;affluent: string: =〃 deselected";tributary:string = " deselected";);EncKentity name> ;从以上提供的交叉器件的通用描述可见,通用参数〃 affluent"(支流) 和〃 tributary"(分支)可以用于访问分级扫描路径。首先将通用参数〃 affluent" 和〃 tributary"设置为〃 deselected"(取消选定),使得交叉器件仅仅操作为扫描路径 内的途经通过的组件(即,支流和分支是不活动的,因此不对扫描路径进行动态修改)。通 过使用"affluent"和"tributary"参数来调用相关的选择函数,可以激活交叉器件以 动态修改扫描路径。如果存在多于一个支流和/或多于一个分支,必须唯一地标识支流和/或分 支中的每一个。在一个实施例中,例如,可以使用简单的排序编号(例如,对于支流为 affluent_0、affluent_l 等;对于分支为 tributary_0、tributary_l 等)。使用基于 NSDL 的描述,测试工具能够仅通过引用通用参数来理解通过交叉器件的连接。可以以任何方式来产生交叉器件的描述。在一个实施例中,使用经由架构而互连 的输入连接集合和输出连接集合的描述,来产生交叉器件的描述,其中该架构适于动态控 制对片上系统的一个组件(或多个组件)的访问。可以存储交叉器件的描述以用于测试。 处理器可以接收或获取交叉器件的描述(例如,从存储器、另一系统,或从这种描述的任何 其他源)以用于执行测试。如这里所述,输入连接集合包括扫描路径输入连接(沿着自TDI的方向,连接至片 上系统的扫描路径),以及至组件的至少一个连接(表示为组件访问输入连接),输出连接 集合包括扫描路径输出连接(沿着至TDO的方向,连接至片上系统的扫描路径),以及至组 件的至少一个连接(表示为组件访问输出连接)。在一个实施例中,使用〃 precedent"参数(针对扫描路径输入连接)以及一 个或多个"affluent"参数(针对一个或多个组件访问输入连接),来指定输入连接集 合。在一个实施例中,使用"following"参数(针对扫描路径输出连接)和一个或多 个"affluent"参数(这对一个或多个组件访问输出连接),来指定输出连接集合。交叉器件可以以任何方式动态修改系统扫描路径。架构可以是用于经由组件将输 入连接集合中的输入连接动态连接至输出连接集合中的输出连接的任何架构,其中选择交 叉器件来将该组件添加至系统扫描路径。例如,该架构可以是开关架构、总线架构、网络架 构等。在一个实施例中,交叉器件的描述是算法描述,包括适于测试工具理解的至少一 个构成规则。可以将交叉器件的描述动态修改为,将组件动态添加至扫描路径,并从扫描路 径中动态移除组件(例如,通过修改参数〃 affluent〃和〃 tributary")。使用NSDL来描述片上系统测试中的测试资源,这使得能够以能够在片上系统 测试中使用交叉器件的方式,来描述许多不同交叉器件。可以将由NSDL描述的不同交 叉器件分组到三个宽泛类别中。具体地,交叉器件可以表示“有线”(wired)连接、“事
(transactional)_ ·#,, (wired-transactional) 3 _。
“有线”交叉器件是基本上有线连接到片上系统的扫描路径的交叉器件(并可以根 据需要对其进行选择或取消选择)。“有线”交叉器件以类似于开关的方式进行操作(即, 根据需要能够在支流和分支之间对连接进行动态编程)。由于“有线”交叉器件的扫描路径 是有线地连接到片上系统的系统扫描路径中的,所以需要显式地取消选择“有线”交叉器件 的扫描路径。在图8中示出并描述了“有线”交叉器件的第一示例(具体地,作为P1687硬 件建议的一部分的选择仪器比特(SIB)组件)。在图9中示出和描述了“有线”交叉器件的 第二示例。“事务”交叉器件是支持临时连接(即,表示特定事务的连接)的交叉器件。“事 务”交叉器件可以作为任何架构(例如,作为总线、片上网络等)进行操作。事务可以是由 “事务”交叉器件的架构支持的任何事务(例如,总线架构中的总线访问、网络架构中的路由 等)。由于“事务”交叉器件的扫描路径仅在事务时间是活动的,因此不需要显式地取消选 定“事务”交叉器件的扫描路径。在图10中示出和描述了 “事务”交叉器件的示例。在“有线”交叉器件中,至少一个组件访问输入连接和至少一个组件访问输入连接 是有线连接。因此,组件访问输入连接和组件访问输出连接是不同的物理连接。在“事务” 交叉器件中,至少一个组件访问输入连接和至少一个组件访问输入连接是事务连接,使得 一个物理连接可以用于支持多个事务(即,组件访问输入连接和组件访问输出连接可以共 享相同的物理连接,但是可以被认为是不同的事务连接)。使用BSDL/HSDL,虽然可以描述特定的“有线”交叉器件(即,SIB组件),但是该 描述非常困难。此外,不可能使用BSDL/HSDL描述更复杂的“有线”交叉器件。此外,使用 BSDL/HSDL描述“事务”和“有线-事务”交叉器件更不可能。因此,出于上述原因,P1687 中标准化的交叉器件仅仅是SIB组件。相反,使用NSDL,明显是支持对任何“有线”交叉器件、“事务”交叉器件以及“有 线-事务”交叉器件的描述的,并且测试工具容易对该描述进行解释。的确,事实上,可以使 用NSDL描述能够动态修改片上系统的扫描路径的任何复杂的交叉设备。为了示例NSDL的 能力,这里关于图7-图10提供了交叉器件的若干示例(及其使用NSDL表达的相应描述)。图7示出了使用通用交叉器件来动态修改片上系统的扫描路径的高级框图。如图 7所示,片上系统700包括扫描路径,该扫描路径包括通用交叉器件710。扫描路径包括两 个永久扫描路径部分(即,始终包括在扫描路径中的部分)以及可选扫描路径部分(即,可 以通过交叉器件710动态地包括在扫描路径中并可以从该扫描路径中移除的部分)。如图7所示,在片上系统700的扫描路径中,测试访问输入(TDI)耦合至第一永久 扫描路径部分(包括一系列边界扫描单元),第一永久扫描路径部分耦合至交叉器件710的 第一输入(即,precedent),交叉器件710的第一输出(即,following)耦合至第二永久扫 描路径部分,第二永久扫描路径部分耦合至测试访问输出(TDO)。交叉器件710允许将可选扫描路径部分动态地(有选择地)并入片上系统70的 扫描路径中。具体地,交叉器件710包括第二输出(即,分支)和第二输入(即,支流),可 以选择该第二输出将第一永久扫描路径部分的输出耦合至的可选扫描路径部分的输入,可 以选择该第二输入将可选扫描路径部分的输出耦合至第二永久扫描路径部分的输入。交叉器件710使得能够通过选择/取消选定输入/输出的特定组合,来动态修 改扫描路径。当将交叉器件710的第二输出和第二输入取消选定时,扫描路径是TDI、SCAN_4_BIT_0、BS_0、BS_1、交叉器件710、BS_2、TD0。当将交叉器件710的第二输出和第二 输入选定时(即,第一输入连接至第二输出,第二输入连接至第一输出),扫描路径是TDI、 SCAN_4_BIT_0、BS_0、BS_1、交叉器件 710、another_SCAN_4_BIT、BS_3、交叉器件 710、BS_2、 TDO。图8示出了可以使用NSDL描述的一个交叉器件的高级框图。具体地,图8示出 了 SIB器件800。SIB器件800实现了对另一组件的选择(即,使得将该组件添加至扫描路 径)。SIB器件受选择比特的控制。当选择比特的值为“0”时,该单元不是活动的(其仅 是扫描路径中的比特)。当将选择比特的值设置为“ 1”时,扫描路径通过端口 WSIo (即,支 流)路由出,并通过端口 WSOi(即,分支)路由入,从而将与这些端口连接的任何器件添加
至扫描路径。
SIB器件800的基于NSDL的描述如下(出于清楚的目的,包括行号)
1IP SIB generic (precedentstring: = “ TDI“;
2following: string: =" TD0";
3tributary: string: =〃 deselected";
4affluent: string: =〃 deselected")
5Begin
6UpSIBREGgeneric map (precedent = >〃 TDI 〃,
7following=〉" TD0"
8elements = > 1);
9
10Procedureselect
11Length 1 ;
12Selectionwired ;
13{
14UpSib < ='1';
15tributary=〃 TDI 〃 ;
16affluent:="TDO “;
17ι
18
19Proceduredeselect
20Length 1 ;
21Selectionwired ;
22{
23UpSib < =‘0';
24tributary=〃 deselected";
25affluent:=〃 deselected";
26ι
27
28 End SIB ;在SIB器件800的描述中,6-9行声明内部寄存器(即,测试工具需要以便处理分 级的比特,在SIB器件800的情况下,仅为一个比特);以及10-17行示出了选择过程,19-26 行示出了取消选定的过程(使用其相应的名称,测试工具能够容易识别)。在描述体中,容易识别NSDL的两个作用(1)扫描路径的修改,从而由片处理来表 达比特流(见14和23行);以及⑵通过赋予串的值,来进行拓扑的修改(例如,以类似 于拓扑映射中"precedent〃和〃 following"的赋值的方式)。图9示出了可以使用NSDL描述的一个交叉器件的高级框图。具体地,图9示出了 分级开关器件900。分级开关器件900包括三个输入支流和两个输出分支。尽管所描述的
具有三个支流和两个分支,但是可以支持任何数目的支流和分支。
分级开关器件900的基于NSDL的描述如下(为了清楚起见包括行号)
1IP Hierarchy_switch generic(precedentstring: = “ TDI“;
2following:string: = “ TD0";
3tributary_0string = “ deselected";
4tributary_lstring: = “ deselected";
5affluent_0string: = “ deselected";
6affluent_lstring: = “ deselected";
7affluent_2string: = “ deselected";
8)
9
10Begin
11
12Affl—map: Vector—REG generic map (precedent => " TDI “,
13following = >" Trib—map"
14elem—size = > 2 ;
15elements = > 3);
16Trib—map: Vector_REG generic map (precedent => 〃 Affl—map",
17following=〉" TD0"
18elem—size = > 2 ;
19elements = > 2);
20
21subtype name is string(1 to 11);
22type name_vector is array (natural rangeO)of name ;
23constant affluent—name:name_vector(0 to 2):=
24("affluent—0〃 , “ affluent—1〃 , “ affluent
25constant tributary—name:name_vector(0 to 1):=
26("tributary—0”, “ tributary—1");
27
28
16
29 Procedure select(affluent_nmbin std_logic一vector(1 downto 0);30tributary_nmb:in std_logic)31 Length 1 ;32 Selection wired ;33 {34 Trib_map <= affluent_nmb ;35 Aff l_map < = " 0〃 &tributary_nmb ;3637 case(tributary_nmb)38 when' 0' => tributary_0):=39affluent_name(conv_integer(affluent_nmb));40 when' 1' => tributary_l:=41affluent_name(conv_integer(affluent_nmb));42 end case ;4344 case(affluent_nmb)45 when" 00〃 二> affluent_0:=46tributary_name(conv_integer(tributary_nmb));47 when" 01 〃 二 > affluent」=48tributary一name(conv一integer(tributary_nmb));49 when" 10〃 二> affluent_2:=50tributary_name(conv_integer(tributary_nmb));51 when others => assert false report" ERROR ! “ severity failure ;52 end case ;53 }5455 Procedure deselect_affluent56(affluent_nmb:in std_logic_vector(1 downto 0))57 Length 1 ;58 Selection wired ;59 {60 Aff l_map < = 〃 11〃 ;61 case (affluent_nmb)62 when" 00〃 => affluent_0: =" deselected";63 when" 01〃 => aff luent_l: = " deselected";64 when" 10" => affluent_2: =" deselected";65 when others => assert false report" ERROR ! ‘‘ severity warning ;66 end case ;67
68 }6970 Procedure deselect_tributary(tributary_nmb:in std_logic)71 Length 1 ;72 Selection wired ; 73 {74 Trib_map < = " 11〃 ;75 case(tributary_nmb)76 when' 0' => tributary_0: =" deselected";77 when' 1' = > tributary」="deselected";78 end case ;79 }80 End hierarchy_switch ;在分级开关器件900的描述中3_7行声明支流和分支(其中每一个分别给定唯 一名称);12-19行声明内部扫描路径(即,类似于开关矩阵);21-26行通过定义一些定制 类型来充分利用VHDL能力(从而,为分级描述符准备了实质上等同于开关矩阵的内容)。在分级开关器件900的描述中^select”(选择)过程(29-53行)负责比特流修 改,此外,利用VHDL算法能力(以及先前定义的定制类型),以描述动态扫描路径修改。函 数的参数是指要连接路径的有序编号。从分级开关器件900的描述所看到的,使通过分级开关器件90的连接为不活动, 这不需要对该连接的两端进行取消选定;而是,仅对连接的一端取消选定就切断了该连接。 因此,取消选定可以由两个过程来处理(1)〃 deselect_affluent"实现支流的取消选定 (使用有序编号作为参数),以及(2)" deselect_tributary"实现分支的取消选定(使用 有序编号作为参数)。图10示出了可以使用NSDL描述的一个交叉器件的高级框图。具体地,图10示出 了总线架构器件1000。总线架构器件1000包括经由组件总线1012互连的主网关组件1011 以及5个从组件1013A-1013E(共同示为从组件1013)。在总线架构器件1000中,向每个从组件1012分配由网关组件1011使用的地址, 以访问从组件1012。总线架构器件1000必须支持特定的协议,以使得网关组件1011能够 访问从组件1012 ;然而,总线架构器件1000的基于NSDL的描述不需要关于该协议的任何
fn息ο总线架构器件1000的基于NSDL的描述如下(为了清楚起见包括行号)网关包描述1 Package Gff_package is23 Constant N_SLAVES integer: = 5 ;4 Constant ADDRESS_DEPTH:integer: = 3 ;5 subtype slave_name_type is string(1 to 1);6 subtype slave_address_type is std_logic_vector
7(ADDRESS_DEPTH-1 downto 0);
8
9Type slave_mapping_type is record
10slave_name:slave_name_type ;
11slave_address:slave_address_typr ;
12end record ;
13
14Type network_mapping_type is array(1 to N_SLAVES)of
15slave_mapping_type ;
16
17Constant BUS_0P_FIELDS:integer: = 2 ;
18
19Constant BUS_READ:std_logic_vector(BUS_0P_FIELDS_1
downto 0) : = 〃 01〃 ;
20Constant BUS_WRITE:std_logic_vector(BUS_0P_FIELDS-1
downto 0) : = 〃 10〃 ;
21Constant BUS_IDLE:std_logic_vector(BUS_0P_FIELDS_1
downto 0) : = 〃 00 “;
22
23End Gff_package ;
网关描述
1Use Gff_ackage. all ;
2
3IP Gff generic (precedent:string: = " TDI“;
4following:string: = " TDO“;
5tributary:string: = " deselected";
6affluent:string: = " deselected";
7network_mapping:network_mapping_type);
8
9
10Begin
11
12Address_map: REG generic map (precedent = >" TDI ",
13following = >" TDO"
14elements = > ADDRESS_DEPTH);
15Bus_operation:REG generic map(
16precedent = >" Address_map “;
17following = >" TDO〃 ;
18elements => BUS_0P_FIELDS);
19
20Function get_slave_address(namein slave—name_type)
21return s1ave_address_type is
22Begin
23for k in N—SLAVES loop
24If network—mapping(k) · slave—name = name then
25return network—mapping(k) · slave_address ;
26End loop ;
27assert false report" ERROR, slave" &name&" does not exist"
28severity failure ;
29end get_slave_address ;
30
31Procedure select_tributary(tributary—name:in slave—name_type)
32Length 10 ;
33Selection transaction ;
34{
35address—map < = get_slave_address(tributary—name);
36bus_operation < = BUS—WRITE ;
37tributary: = tributary—name ;
38ι
39
40Procedure select_affluent(affluent—name:in slave—name_type)
41Length 10 ;
42Selection transaction ;
43{
44address—map < = get_slave_address(affluent—name);
45bus_operation < = BUS_READ ;
46affluent: = affluent—name ;
47ι
48
49End Gff ;
为了更好的可读性,已经将总线架构器件1000的基于NSDL的描述拆分成两个文
件。具体地,将总线架构器件1000的基于NSDL的描述拆分成以下部分(1)包括针对总线 架构器件1000的所有类型的声明的包;以及(2)总线架构器件1000的描述。总线架构器件1000的基于NSDL的描述不需与总线架构器件1000的总线协议的 实际实现方式有关的信息;而基于NSDL的描述仅需要与在总线架构器件1000内发起事 务所需的命令有关的信息。事务由包括在基于NSDL的描述中的"select_tributary〃 和"select_affluent"函数定义。“ select.tributary"函数(31-38行)将适宜地址写入适宜寄存器(使用定制类型和函数),以命令到总线的“写入”操作。“selectjffluent"函数(40-47行)读取 从适宜寄存器读取适宜地址(使用定制类型和函数),以命令自总线的“读取”操作。由于总线架构器件1000是“事务”交叉器件,总线架构器件1000的基于NSDL的 描述不需要“选择”或“取消选择”过程;而是,将“选择”和“取消选择”过程标记为“事务”, 使得测试工具知道该连接只是活动一次,然后就将支流和分支设置回至“取消选定”。如这里所述,诸如总线架构器件1000 (以及其他交叉器件)等交叉器件完全超出 P1687标准的当前能力范围。只有使用NSDL,才可能对诸如总线体架构器件1000(以及其 他交叉器件)等交叉器件进行描述。如这里所述,可以使用NSDL来描述片上系统,此外,用于测试片上系统的测试工 具可以利用片上系统的系统级描述。关于图11示出和描述了适于测试使用NSDL描述的片 上系统的示例测试工具。此外,参照图12-图20可以更好地理解使用NSDL描述的片上系 统的测试。图11示出了图1的测试环境的测试系统的高级框图。具体地,TS120包括处理 器1110、存储器1120、输入输出(I/O)接口 1130、以及支持电路1140。处理器1110耦合至 存储器1120、I/O接口 1130以及支持电路1140中的每一个。处理器1110与存储器1120、 I/O接口 1130以及支持电路1140协作,来提供这里示出和描述的各种测试函数。如图11所示,存储器1120存储适于在执行系统测试时使用的资源。具体地,存储 器1120存储测试工具1121、测试资源描述1122、以及适于在执行系统测试时使用的测试数 据1123。存储器可以存储用于执行系统测试的任何其他程序、描述、数据等(表示为其他 1124)。测试工具1121控制系统测试。测试工具1121可以包括一个或多个测试过程。测 试过程可以由一个或多个测试编译器产生。可以执行测试过程以测试一个或多个系统。测 试工具1121包括可以用于控制系统测试的任何其他过程、程序等。测试资源描述1122可以包括适于在系统测试中使用的任何描述,例如组件描述、 系统描述等,及其各种组合。测试资源描述1122可以包括系统拓扑的描述。测试资源描述 1122可以包括被处理以用于执行片上系统测试的任何其他描述。测试资源描述1122可以包括一个或多个库,使得可以为不同类型的测试资源维 护描述模板(因此,可以根据需要进行访问和修改)。模板可以是组件级模板(例如,针对 特定IP的模板、针对特定仪器的模板等),系统拓扑模板等,及其各种组合。测试数据1123包括适于在执行系统测试时使用的任何数据。测试数据1123可以 包括输入比特流数据、输出比特流数据(例如,由测试系统的确定预期输出比特流,以及从 片上系统捕获的实际输出比特流)等,及其各种组合。测试数据1123包括可以应用于正被 测试的系统和/或可以从正被测试的系统中恢复的任何其他数据。I/O 接口 1130 提供从 TS 120 至 S-o-C 110 的接口。I/O 接口 1130 是基于 JTAG 的接口。I/O接口 1130支持TDI接口,通过该TDI接口,TS 120可以响应于处理器1110执 行的测试过程,来将输入比特流应用于S-o-CllO。I/O接口 1130支持TDO接口,通过该TDO 接口,TS 120可以响应于处理器1110执行的测试过程,来从S-o-C 110中恢复实际输出比特流。尽管这里主要关于一个TDI和一个TDO接口进行示出和描述,但是I/O接口 1130可以支持用于测试不同片上系统配置所需或期望的任何数目和类型的测试接口。例如,对 于基于JTAG的测试,I/O接口 1130还可以支持针对TCK信号、TMS信号、以及可选地TRST 信号的接口。支持电路1140包括可以在执行系统测试时使用的任何附加电路。例如,支持电路 1140可以包括附加处理器、附加存储器、附加接口、测试比特流产生电路、测试比特流处理 电路等,及其各种组合。支持电路1140包括测试系统120可能需要的任何附加电路。处理器1140与存储器1120、1/0接口 1130、以及支持电路1140协作,以提供这里 描述的各种片上系统测试函数。处理器1140产生描述(例如,函数级描述、组件描述等)。处理器1140处理来自 测试资源描述1122的描述,以产生描述(例如,使用组件描述来分析组件互连、产生系统描 述等)。处理器1140存储该描述,作为测试资源描述1122的一部分。处理器1140产生用于测试片上系统的测试过程,并存储该测试过程作为测试工 具1121的一部分。处理器1140使用来自测试工具1121的测试过程产生测试数据,并存储 该测试数据作为测试数据1123的一部分。处理 器可以与存储器1120、I/O接口 1130、以及支持电路1140协作,以提供这里 描述的任何其他片上系统测试函数。参照图12-14可以更好地理解使用片上系统的基于NSDL的描述的片上系统测试, 图12-14提供了用于使用片上系统的基于NSDL的描述来测试片上系统的方法。也可以参 照图15和16(提供示出了片上系统的一个组件的测试的示例)、图17 (提供用于测试片上 系统的组件的方法)、以及图18-图20(提供示出了片上系统测试的示例)理解使用片上系 统的基于NSDL的描述的片上系统测试。图12示出了由图1的测试系统执行的、用于通过JTAG连接测试系统的示例方法。 尽管示出和描述了以串行方式执行,但是可以同时地或者以与关于图12示出和描述的顺 序不同的顺序来执行图12的方法1200的步骤的至少一部分。方法1200开始于步骤1202, 并前进至步骤1204。在步骤1204,为片上系统确定测试比特流。测试比特流包括输入比特流和预期输 出比特流。可以按照这里描述的任何方式确定测试比特流。在一个实施例中,使用关于图 13示出和描述的方法确定测试比特流。在步骤1206,将输入比特流应用于片上系统。可以经由片上系统的TDI接口将输 入比特流应用于片上系统。在步骤1208,从片上系统捕获实际输出比特流。可以经由片上 系统的TDO接口从片上系统捕获实际输出比特流。在步骤1210,使用实际输出比特流和预期输出比特流,来确定测试结果。通过将实 际输出比特流和预期输出比特流比较,来确定测试结果(例如,确定在测试期间是否存在 任何错误)。在步骤1212,存储测试结果。在步骤1214,方法1200结束。图13示出了由图1的测试系统执行、用于通过JTAG连接测试系统的示例方法。具 体地,图13的方法1300包括用于确定在测试片上系统时使用的测试比特流的方法。尽管 示出和描述了以串行方式执行,但是可以同时地或者以与关于图13示出和描述的顺序不 同的顺序来执行图13的方法1300的步骤的至少一部分。方法1300开始于步骤1302,并前进至步骤1304。在步骤1304,确定片上系统的描述(这里表示为系统描述)。可以以这里描述的 任何方式来确定系统描述。系统描述是片上系统的基于NSDL的描述。在一个实施例中,使 用关于图14示出和描述的方法来确定系统描述。在步骤1306,根据片上系统的系统描述,来确定用于测试片上系统的测试比特流。 测试比特流包括要应用于片上系统的输入比特流,以及可以与从片上系统捕获的实际输 出比特流进行比较的预期输出比特流。在步骤1308,方法1300结束。图14示出了由图1的测试系统执行、用于通过JTAG连接测试系统的示例方法。具 体地,图14的方法1304包括用于确定片上系统的系统描述的方法。尽管示出和描述了以 串行方式执行,但是可以同时地或者以与关于图14示出和描述的顺序不同的顺序来执行 图14的方法1304的步骤的至少一部分。方法1304开始于步骤1402,并前进至步骤1404。在步骤1404,标识片上系统的组件。可以以任何方式来标识片上系统的组件。在 一个实施例中,可以标识片上系统的组件作为片上系统提供的测试资源。在一个实施例中, 可以通过分析片上系统来标识片上系统的组件。可以以任何其他方式来标识片上系统的组 件。在步骤1406,为片上系统的每个组件确定组件描述。可以以任何方式来确定组件 描述。在一个实施例中,其中,组件描述是预定义的,可以通过简单读取预定义的描述来 确定组件描述。在一个实施例中,其中组件描述不是预定义的,可以通过分析每个组件来在 运行中定义组件描述。组件的描述指定组件的内部扫描路径。在一个实施例中,组件的描述从寄存器值 方面来表示组件。在一个这样的实施例中,其中,组件支持多个函数,组件的每个函数可从 寄存器值方面来表示。关于图15可以更好地理解从寄存器值方面的组件描述。使用NSDL 指定组件描述。在步骤1408,确定片上系统的拓扑。片上系统的拓扑描述了片上系统的组件之间 的互连。通过分析片上系统的组件之间的互连,来确定片上系统的拓扑。在步骤1410,确定片上系统的系统描述。使用组件描述和系统拓扑来确定系统描 述。片上系统的系统描述表示片上系统的扫描路径,包括片上系统的各个组件中每一个的 内部扫描路径以及片上系统的组件之间的互连。系统描述可以包括用于描述片上系统的任 何其他信息。在步骤1412,存储片上系统的系统描述。在步骤1414,方法1304结束。图15示出了使用图2的片上系统的组件之一的描述来确定用于测试该组件的测 试过程的寄存器值。具体地,将S-O-C 110(为了清楚起见省略)的组件210A的描述转换 成针对S-O-C 110的组件210a的寄存器值的集合(表示为寄存器值1510)。组件210A的 寄存器值1510包括针对由组件210A支持的三个函数中每一个的寄存器值。可以对针对由 组件210A提供的三个函数中每一个的寄存器值进行处理,以确定用于测试组件210A的测试 比特流的值。如图15所示,从组成组件 的组件寄存器ApApA2的寄存器值方面,来描述组件210A的函数。第一函数定义为“000”,等待4个周期,“001”。第二函数定义为:“111”,等 待1个周期,“010”,等待5个周期,“101”。第三函数定义为:“000”,等待2个周期,“100”, 等待10个周期,“000”。换言之,寄存器值1510指定了针对组件210a的从函数到组件寄存 器值的映射。该描述(即,从函数到寄存器值的映射)示出了,可以容易地处理组件的描述, 以确定测试比特流。如图15所示,对于组件21(^的每个函数,寄存器值1510指示(1)如何针对函 数写入和读取组件寄存器,以及(2)针对函数,必须如何解释组件寄存器值。因此,在诸如 NSDL等描述语言中,组件的描述包括组件函数的描述,然后可以将该组件函数的描述转换 成寄存器值,以在用于测试片上系统上下文内的组件的测试过程中使用。尽管出于清楚目的,在描述从函数到寄存器值的映射时被省略了,但是根据NSDL 的组件210a的特定描述是算法描述,该描述是以如关于图3-图5所示出和描述的方式构 成的。组件210A的基于NSDL的描述使得能够确定从函数到寄存器值的映射。尽管出于清 楚的目的进行了省略,但是可以为S-O-C 110的其他组件(S卩,组件210b-组件210e)中的 每一个定义类似描述。图16示出了使用图2的片上系统的组件的描述来确定用于测试图2的片上系统 的组件之一的测试过程的测试比特流。如图16所示,产生测试比特流1610,以用于测试至 少一部分S-O-C 110。具体地,测试比特流1610包括应用于S-O-C 110的TDI端口的输 入比特流16111;以及从S-O-C 110的TDO端口接收的输出比特流1611。。TS 120使用S-O-C 110的描述(使用NSDL指定的)产生测试比特流1610。S_0_C 110的描述(这里也被称作系统描述)包括S-O-C 110的测试资源的描述(例如,组件210 的描述、组件互连220的描述等,及其各种组合)。S-O-C 110的系统描述描述了 S-O-C 110 的拓扑,并从而描述了 S-O-C 110的系统扫描路径。如这里所述,TS 120基于S-O-C 110的系统描述(提供了系统扫描路径的描述) 来产生测试比特流1610。因此,由于S-O-C 110的系统描述提供了 S-O-C 110的系统扫描路 径的描述,因此TS 120能够确定为S-O-C 110产生的测试比特流1610的哪些部分与S-O-C 110的系统扫描路径的哪些部分相对应。在图16中示出了这一点。如图16所示,已经定位了输入比特流Ieil1和输出比特流1611。内的特定比特位 置(即,与组件210a相对应的比特位置)。通过使用S-O-C110的基于NSDL的描述(提供 了与S-O-C 110的系统扫描路径有关的信息,包括S-O-C 110内组件210的相应位置),能 够实现对输入比特流Ieil1和输出比特流1611。内的与组件210a内的寄存器相对应的特定 位置的定位。尽管为了清楚起见,在描述从组件寄存器到比特流的转换时被省略了,但是根据 NSDL的S-O-C 110的特定描述是算法描述,其描述了 S-O-C 110的拓扑,从而描述了 S_0_C 110的系统扫描路径。S-O-C 110的该基于NSDL的描述使得能够执行从寄存器值到比特流 的转换。图17示出了由图1的测试系统执行、在IJTAG/NSDL框架中测试系统的组件的示 例方法。具体地,图17的方法1700包括用于测试片上系统的一个组件的方法。尽管示出 和描述了以串行方式执行,但是可以同时地或者以与关于图17示出和描述的顺序不同的 顺序来执行图17的方法1700的步骤的至少一部分。方法1700开始于步骤1702,并前进至
24步骤1704。在步骤1704,选择片上系统的组件(即,选作要被测试的片上系统的组件)。在步骤1706,获得所选组件的描述。在步骤1708,对于组件所支持的每个函数,将该函数转换成与组件的寄存器相关 联的寄存器值。使用组件的描述将组件的函数转换成寄存器值。在步骤1710,获得片上系统的系统描述。片上系统的系统描述指定了片上系统的 系统扫描路径,其是根据片上系统的组件描述和片上系统的拓扑的描述而确定的。系统描 述可以用于指定用于片上系统的测试比特流。在步骤1712,使用片上系统的拓扑来确定片上系统的测试比特流内的所选组件位 置。测试比特流内所选组件位置指定了输入比特流内的一个或多个比特位置以及实际输出 比特流内一个或多个比特位置。在步骤1713 (可选步骤),驱动交叉器件(即,如果对组件的访问受交叉器件的控 制)。通过对交叉器件的算法描述进行处理,来驱动交叉器件。驱动交叉器件使得能够选择 交叉器件,以动态地将相关联的组件添加至片上系统的扫描路径。在不再需要对组件进行 访问之后,可以取消选定该交叉器件,以从片上系统的扫描路径中移除相关联的组件。该步 骤是可选的,这是由于对组件的访问可以受交叉器件的控制,也可以不受交叉器件的控制。在步骤1714,将寄存器值插入到输入比特流的定位位置中。然后可以将输入比特 流应用于片上系统的输入测试访问端口(即,用于测试片上系统的至少一部分)。在步骤 1716,从输出比特流(即,从由测试系统从片上系统的输出测试访问端口捕获的输出比特 流)的定位位置中恢复结果值。然后可以对恢复的结果值进行处理,以便于确定各种测试 结果。在步骤1718,方法1700结束。图18示出了示例片上系统的高级框图。如图18所示,片上系统1800包括滤波 器和三个仪器,这三个仪器包括模数转换器(ADC)、数模转换器(DAC)、以及天线访问单 元(AAU)。AAU支持模拟至数字至模拟转换。滤波器支持至ADC的输出连接(表示为RX_ out)和来自DAC的输入连接(表示为TX_in)。滤波器支持与AAU的双向连接(表示为AN_ inout)ο如图18所示,经由从TDI输入至TDO输出的扫描路径,来提供对三个仪器的访问。 该扫描路径包括致动器寄存器集合和三个SIB单元(每一个分别针对三个仪器中一个,使 得能够将相应仪器添加至扫描路径)。致动器寄存器与滤波器相接口。第一 SIB单元提供 对ADC仪器的访问(经由支流和分支)。第二 SIB单元提供对DAC仪器的访问(经由支流 和分支)。第三SIB单元提供对AAU仪器的访问(经由支流和分支)。随后是扫描路径的描述。TDI输入耦合至致动器寄存器集合的输入。致动器寄存 器集合的输出耦合至第一 SIB单元的输入。第一 SIB的输出耦合至第二 SIB单元的输入。 第二 SIB单元的输出耦合至第三SIB单元的输入。第三SIB单元的输出耦合至TDO输出。 当取消选定每个SIB时(即,如果没有“选择”任何SIB,以使得它们相应的仪器不能被添加 至扫描路径),从TDI输入至TDO输出的序列形成了片上系统1800的扫描路径。如图18所示,通过选择与三个仪器相关联的相应SIB单元的支流和分支接口,可 以容易地将三个仪器中的每一个添加至扫描路径。例如,可以选择第一 SIB,使得可以将ADC仪器添加至扫描路径以用于测试。例如,可以选择第一 SIB和第三SIB,使得可以将ADC 和AAU仪器均添加至扫描路径以用于测试。如这里所述,片上系统1800的基于NSDL的描 述简化了片上系统1800的测试。图19示出了使用图18的片上系统的组件之一的描述来确定用于测试该组件的测 试过程的寄存器比特值。具体地,图19示出了 ADC仪器的描述(表示为描述1910)到与ADC 仪器的寄存器(表示为寄存器1920)相关联的寄存器值的映射。如图19所示,描述1910 包括扫描路径的描述(表示为扫描路径描述1911),以及由ADC仪器执行的函数的算法表 示(表示为函数算法1912)。描述1910是基于NSDL的(算法)描述。扫描路径描述1911标识出ADC仪 器的寄存器(包括数据寄存器和控制寄存器),并描述了如何布置寄存器(例如,标 识〃 precedent"、“ following"、以及长度信息)。函数算法1912描述了由ADC仪器执 行的函数的操作。根据描述1910,测试工具能够容易确定可以用于测试ADC仪器的比特流值。图20示出了使用图18的片上系统的组件描述来确定图18的片上系统的组件描 述。具体地,图20示出了图18的片上系统的构成的描述(表示为拓扑2010)。使用片上系 统1800的每个组件(例如,致动器寄存器、SIB、以及仪器)的输入和输出中的每一个的通 用映射来指定拓扑2010。例如,致动器寄存器的描述指示,至致动器寄存器的输入是TDI输入 (“precedent = > “ TDI'),自致动器寄存器的输出是第一 SIB,该输出表示为RX_ enable,这是由于其使能了对ADC仪器的RX_register的访问(following = > “ RX_ enable")。换言之,根据与其相接口的组件来描述致动器寄存器组件。例如,第一 SIB的描述(即,RX_enable组件)指示,至RX_enable组件的输入是 致动器寄存器(precedent =>〃 actuator_registers〃),第一 SIB 的输出是第二 SIB,该 输出表示为TX_enable,这是由于其使能了对DAC仪器的TX_regiSter的访问(following =>"Tx_enable〃)。此夕卜,由于第一 SIB使能了对ADC仪器的访问,因此第一 SIB的描述还描述了对 ADC仪器的访问。具体地,第一 SIB的描述指示了,经由一个输入(affluent=〉" Rx_ register)以及一个输出(tributary => 〃 Rx_enable〃),使能了从第一 SIB 至 ADC 仪器 的访问。根据这些示例,清楚的是,使用NSDL可以容易描述片上系统的整个拓扑。此外,由 于该拓扑提供了片上系统的组件之间的互连的描述,因此可以容易确定片上系统的拓扑内 片上系统的每个组件的定位。如这里所述,使用片上系统的组件描述(提供了从组件的函数到该组件的寄存器 的寄存器值的映射)以及片上系统的拓扑描述,可以对片上系统执行各种类型的测试。因 此,图18-20示出了使用NSDL描述片上系统的优点。根据以上描述,使用NSDL语言来描述片上系统的各种优点和好处是显而易见的。 NSDL的算法本质特点实现了片上系统的算法描述(无论拓扑有多复杂),可以对该算法描 述进行处理以确定测试比特流,该测试比特流适于测试片上系统(例如,测试多个组件、测 试一个组件,测试组件的函数的子集等,及其各种组合)。因此,使用NSDL,可以确定片上系统的系统扫描路径的分级描述,以用于测试片上系统。NSDL语言以BSDL/HSDL无法支持的方式来支持IJTAG的特征,包括提供片上系 统组件(例如,IP、仪器、交叉器件等)的算法描述、片上系统拓扑(例如,组件之间的互连、 组件间依赖性等)等,及其各种组合,从而实现片上系统的算法系统级描述。因此,NSDL语 言实现了分级扫描路径组织,从而实现了将寄存器值转换成测试比特流,该测试比特流可 以用于测试片上系统。可以以许多方式来实现NSDL语言。在一个实施例中,使用VHDL的现有特征来实现NSDL。BSDL定义为VHDL的子集,而 NSDL利用VHDL的超集。由于VHDL是主要的寄存器传送级(RTL)描述语言,因此其适于表 达其描述的组件的测试需求。通过保持与VHDL的兼容,NSDL可以由现有编译器支持,只需 要最小改变。此外,VHDL的使用确保了至NSDL的转换对于习惯VHDL的操作员而言是一种 平滑的体验过程,此外,确保了对现有源和工具的转换和改变也是容易的。因此,利用VHDL 的NSDL最小化了对现有用户群体的影响,从而简化了现有用户群体对NSDL的采用。相反,曾开发BSDL作为VHDL的子集,以努力使BSDL即与VHDL后向兼容也与VHDL 前向兼容。子集指示了无任何添加(即,由嵌入到现有VHDL规则中的结构性语法规则承载 所有信息,然后以与正常方式不同的方式来解释)。虽然后向兼容是自动的,但是定义新结 构的不可能性使得演进很困难。该结构性限制导致开发者通常以反直觉方式,过度使用两 个最通用的结构(属性和特性串)。换言之,将BSDL有效地限制在VHDL的一小部分内,从 而消除了 VHDL具有上下文意义的任何可能性。如这里所述,NSDL提供了片上系统测试中的许多优点。类似地,使用VHDL来实现 NSDL在片上系统测试中提供了许多优点。可以在VHDL实体组件偶联体(couplet)上,对片上系统的组件进行建模,从而实 现由片上系统的组件支持的各个单独函数的描述。因此,可以通过组件的内部扫描路径 (可以包括分级)来提供对每个组件的访问。此外,组件可以与相应的测试过程集合一同提 供,测试系统可以使用该测试过程集合来测试该组件,其中,使用NSDL指定过程的属性(例 如,长度、依赖性等),而使用VHDL指定过程体。因此,这使得系统体系结构能够以类似于组 件体系结构处理组件级描述的方式的方式来处理系统级描述。可以将片上系统的扫描路径构成为可以像VHDL组件一样实例化的一系列实体, 从而容易地将其重新分组到包或库中。此外,容易处理许多更复杂的表示(例如,给定类型 组件的多个实例化、组件间依赖性等,及其各种组合)。此外,NSDL以改善经典VDL信号映 射的方式实现了对系统扫描链的构建。开发用于测试片上系统的测试过程可以引用系统扫 描路径或系统扫描路径的一部分(被称作片,可以包括组件组,或者甚至包括一个组件的 子集)。尽管这里主要关于使用VHDL实现的NSDL的实施例进行示出和描述,但是可以使 用其他硬件描述语言(可以包括还没有开发的硬件描述语言)来实现NSDL。如这里所述,除了改善基于JTAG的测试,以及实现在基于JTAG的测试中使用交叉 器件以外,NSDL语言还实现了对片上系统的组件的并行访问,从而实现片上系统测试的改 善(例如,改善的测试调度、改善的测试效率等,及其各种组合)。在一个实施例中,例如P1687,并行访问主要用作优化数据传输带宽的方式,而串
27行访问仍保持对测试的控制。NSDL语言能够描述这些辅助资源,并将它们插入到测试流中 (例如,分别插入到关于图12和17示出和描述的方法1200和1700中)。此外,也可以对NSDL进行扩展以描述更复杂的测试访问机制(TAM),例如,使用交 叉器件来提供用于测试片上系统的并行访问,使用扇出-扇入方案来提供用于测试片上系 统的并行访问等,及其各种组合。可以参照图21-28更好理解用于测试一个片上系统(或多个片上系统)的并行访 问的使用。图21示出了并行访问接口的一般连接方案的高级框图。具体地,一般连接方案 2100提供了至片上系统2120的并行访问接口 2110。并行访问接口 2110包括内部并行端 口 2111、外部并行端口 2112、以及内部接口 2113。片上系统2120包括并行端口 2121。如图21所示,通过内部并行端口 2111与并行端口 2121之间的连接,提供对片上 系统2120的并行访问。利用并行端口 2121需要(1)并行端口 2121与片上系统2120的 连接和同步(可以在实例化时间进行),以及(2)通过测试系统(为了清楚起见而省略)对 并行端口 2121的处理。如图21所示,内部并行端口 2111和外部并行端口 2112使得η个输入连接(η > 0)和m个输出连接(m> 0)连接至片上系统2120的并行端口 2121。以两种方式提供对片上系统2120的并行访问。外部地提供对片上系统2120的并行访问。使用外部并行端口 2112提供从测试系 统到片上系统2120的外部访问。外部并行端口 2112用作测试系统与内部并行端口 2111 之间的接口。外部并行端口 2112支持η个输入连接以及m个输出连接(分别与内部并行 端口 2111的η个输入/m个输出连接相对应)。内部地提供对片上系统2120的并行访问。使用内部接口 2113提供从测试系统到 片上系统2120的内部访问。在一个实施例中,可以使用连接至系统扫描路径的一个或多个 内部寄存器来实现内部接口 2113。在该实施例中,内部寄存器可以用于控制并行访问接口 2110的行为,或者查询并行访问接口 2110的状态。如上所述,为了清楚起见,省略了可以经由内部接口 2112或外部接口 2113访问内 部并行端口 2111的测试系统。使用NSDL描述并行访问接口 2110。并行访问接口 2110的NSDL描述包括(1)内部端口的描述(例如,宽度信息、数 据流方向等信息),以及(2)并行访问函数/过程。并行访问接口 2110的NSDL描述也可以可选地包括(3)外部并行端口 2112的描 述(例如,宽度信息、数据流方向等信息)。并行访问接口 2110的NSDL描述也可以可选地包括(4)内部接口 2113的描述 (例如,用于控制函数和/或状态函数的寄存器的描述)。在一个实施例中,可以使用串行测试访问接口的描述以及并行测试访问接口的描 述,来描述从测试系统到具有多个组件的片上系统的并行访问,串行测试访问接口用于在 测试系统与组件之间耦合测试比特流(其中,串行测试访问接口使用片上系统的串行扫描 路径来提供对组件的访问),并行测试访问接口用于在测试系统与组件之间耦合测试比特 流(其中,并行测试访问接口提供对组件的访问,而不使用片上系统的串行扫描路径,即,即使串行扫描路径的一个或多个值可以控制访问,也不直接经由串行扫描路径提供访问)。 可以存储串行测试访问接口和并行测试访问接口,用于测试。在一个实施例中,可以使用并行接口模块的描述来描述从测试系统到片上系统的 并行访问,并行接口模块适于将测试系统耦合至片上系统的核心模块,并存储并行接口模 块的描述,其中,并行接口包括至少一个串行寄存器和至少一个并行寄存器,该至少一个串 行寄存器适于使用片上系统的扫描路径来访问核心模块,该至少一个并行寄存器适于访问 核心模块,而不使用片上系统的扫描路径。可以存储该描述以用于测试。在一个实施例中,可以通过使用串行测试访问端口的描述、并行测试访问端口的 描述、以及接口端口的描述来描述从测试系统到片上系统的并行访问,串行测试访问端口 适于在测试系统与组件之间耦合测试比特流,并行测试访问端口适于在测试系统与组件之 间耦合测试比特流,接口端口适于将串行测试访问端口和并行测试访问端口耦合至片上系 统的至少一部分组件。可以存储该描述,以用于测试片上系统。如这里所述,存储所产生的描述。这样,处理器可以接收该描述(例如,从存储器、 从另一系统、或者从这种描述的任何其他源),以使用并行访问执行各种测试(例如,组件 级测试、系统级测试等,及其各种组合)。可以以其他方式来描述从测试系统到片上系统的并行访问。并行接口 2110与片上系统2120之间的通信可以为同步或异步。在一个实施例中,并行接口 2110与片上系统2120之间的通信与扫描链同步。在 一个这样的实施例中,在1149. 1 “更新”信号的上升沿,对并行端口 2121上的值进行采样。 在该实施例中,测试系统仅仅必须向端口提供值(用于输入),和/或从端口收集值(用于 输出)。在一个实施例中,并行接口 2110与片上系统2120之间的通信可以实现为同步 突发。在一个这样的实施例中,为了优化带宽,将数据突发发送至并行端口 2121(对于输 入),和/或从并行端口 2121读取数据突发(对于输出)。在一个这样的实施例中,可以在 1149. 1 “更新”信号的上升沿处开始突发数据的发送/读取。并行接口 2110指定至测试系 统的数据突发的特性。在一个实施例中,并行接口 2110和片上系统2120之间的通信为异步。在该实施 例中,并行端口 2121自己进行操作,对其自身用于操作连接的协议进行处理。在该实施例 中,测试系统仅允许高级访问(发送数据和接收数据)。事务的协议由测试系统的并行接口 驱动器进行处理。在一个实施例中,片上系统1220可以支持多个这种与并行接口 2110通信的模式。 在这样的实施例中,可以使用函数集合在不同通信模式之间切换。例如,函数集合可以包 括‘‘disable_p0rt",禁用并行端口 ; ‘‘ set_scan_synchr0",切换至扫描链同步访问 模式;“set_burst",切换至突发访问模式;以及"set.asynchro",切换至异步模式。图22示出了两个示例并行访问连接方案的高级框图。如图22所示,在图1的测试环境的上下文内描述示例并行访问连接方案。示例的 并行访问连接方案用于将测试系统120连接至片上系统110。具体地,示例的并行访问连接 方案用于将测试系统120连接至片上系统110的JTAG接口 2201和并行接口 2202。如图22所示,第一并行访问连接方案2210针对JTAG和并行访问利用公共缆线。第一并行访问连接方案2210针对至片上系统110的扫描路径的JTAG接口 2201以及片上 系统110的并行连接2202,利用单个连接器件(表示为JTAG并行接口器件)2111。如图22所示,第二并行访问连接访问方案2220利用分离的JTAG和并行连接。第 二并行访问连接方案2210针对至片上系统110的扫描路径的JTAG接口 2201,利用第一连 接器件(表示为JTAG接口器件2121),以及针对片上系统110的并行接口 2202,利用第二 连接器件(表示为并行接口器件2122)。关于第二并行访问连接方案2210,尽管参照测试系统120是针对JTAG接口 2201 和并行接口 2202两者的测试源/宿的实施例进行示出和描述,但是在其他实施例中,针对 JTAG接口 2201和并行接口 2202的测试源和/或宿可以是不同的。例如,JTAG接口 2201 或并行接口 2202可以使用除了测试系统120以外的其它测试源和/或宿。一般而言,根据并行接口的实现方式,经由并行接口执行片上系统测试的测试系 统可以直接访问片上系统的并行端口,例如,这是由于在测试系统与片上系统的并行端口 之间放置有许多结构。在图23A和23B中示出和描述了示例。图23A示出了示例测试环境的高级框图。示例测试环境2300使得测试系统能够 使用并行访问接口执行对片上系统的测试,该并行访问接口提供对片上系统的并行访问。 具体地,测试环境2300包括经由接口器件(ID) 2320互连的测试系统(TS) 2310和芯片/板 (C/B)2330οTS 2310是测试系统,适于经由并行访问接口执行片上系统的测试。TS 2310可以 以任何方式实现,以实现使用对片上系统的并行访问来测试片上系统的系统。在一个实施 例中,TS 2310可以实现为图11示出和描述的TS 120的适配版本(例如,适于支持并行测 试言旨力)OTS 2310包括适于在经由并行访问接口执行片上系统测试时使用的软件。具体地, TS 2310包括控制测试工具2312和并行接口驱动器2313的操作系统2311。TS 2310包括 适于执行片上系统测试的其他硬件和软件(例如,处理器、存储器、支持电路,等)(为了清 楚起见而省略)。在一个实施例中,测试工具2312可以与测试工具1121相同,或者至少与关于测试 工具2312示出和描述的函数可以实现为测试工具1121的一部分。C/B 2330包括片上系统2331以及并行接口 2332。片上系统2331可以是这里描 述的任何片上系统。并行接口 2332是关于图21和22示出和描述的并行接口。关于图21 可以更好理解并行接口 2332与片上系统2331之间的交互。如图23Α所示,使用NSDL分别 描述片上系统2331和并行接口 2332。ID 2320 用作 TS 2310 与 C/B 2330 之间的接口。TS 2310 与 ID 2320 之间的接口 可以使用TS 2310所支持的任何类型的接口(例如,USB缆线或可以由TS 2310支持的任 何其他类型的接口)来实现。ID2320与C/B 2330之间的接口可以实现为由C/B 2330支 持的任何类型的接口。在一个实施例中,ID 2320支持至C/B 2330的分离的数据和控制接 口,使得可以独立地从TS 2310向C/B 2330应用数据信号和控制信号。如这里所述,测试工具2312处理与并行接口 2332 (以及,从而与片上系统2331) 的数据交换,并且并行接口驱动器2313处理与并行接口 2332 (以及,从而与片上系统2331) 的协议交换。换言之,并行接口驱动器2313防止了必须由测试工具2312管理与并行接口2332的协议交换。如图23A所示,ID 2320支持TS 2310与C/B 2330之间的数据交换和协 议交换。在一个实施例中,并行接口驱动器2313使用操作系统2311所支持的函数(例如, 使用缓冲器、信号量、邮箱等,及其各种组合)来处理与并行接口 2332的协议交换。在该实 施例中,测试工具2312不直接控制片上系统的JTAG端口 ;而是,测试工具2312与向测试工 具2312提供各种函数(例如,使用由驱动器声明并且由测试工具2312导入的函数)的驱 动器交互。图23B示出了图23A的示例测试环境内的数据流的高级框图。如图23B所示,数 据从TS 2310流向C/B 2330 (表示为数据流2315)并且从C/B 2330流向TS 2310 (表示为 数据流2352)。在数据流2351中,数据从测试工具2312流至并行接口驱动器2313、至接口 器件2320、至并行接口 2332、至片上系统2331。在数据流2352中,数据沿着反向路径从片 上系统2330到测试工具2312流动。如这里所述,在测试环境2300的上下文内,仅有数据 流是重要的。片上系统2331的基于NSDL的描述包括(例如,在实例化时)连接至相应并行接 口的一个或多个并行端口,一个或多个并行片(其中存储用于并行事务的数据),以及适于 在并行端口发起事务的一个或多个并行事务函数。可以使用特定命名(例如,"parallel, XXXX“)来标识并行片。可以使用特定命名(例如,‘‘sencLparallel_data〃和〃 get_ parallel.data")来标识并行事务函数。例如,并行事务函数的原型可以包括function send—parallel—data(sending—slicein string)return boolean ;function get_parallel_data(receiving_slice:in string)return boolean ;这里描述的示例的并行事务函数宣告并行端口上的活动,并且还指示对串行测试 比特流的修改,以控制串行测试比特流。“并行片”的实现向测试系统(示意性地,TS 2310 的测试工具2312)通知片上系统的并行端口与片上系统的剩余部分(这里被称作片上系统 的“核心”)之间数据流的细节。可以以许多方式来实现片上系统的并行端口与片上系统的 核心之间的连接(图24-27示出和描述了该连接的示例)。图24示出了片上系统的并行端口与核心之间的示例连接的高级框图。如图24所 示,示例连接利用完全独立的并行端口(独立于串行扫描路径)。具体地,连接2400包括 TAP端口 2410和提供对片上系统2430的并行访问的外部并行端口 2420。片上系统2430 包括可以经由TAP端口 2410或经由外部并行端口 2420访问的核心2439。可以使用片上系统2433的串行扫描路径中的串行寄存器2431,经由TAP端口 2410来访问核心2439。串行寄存器2431控制经由第一接口 2433对核心2439的访问。使 用并行寄存器2434经由外部并行端口 2420来访问核心2439,其中并行寄存器2434在片上 系统2430的串行扫描路径的外部。并行寄存器2434控制经由第二接口 2435对核心2439 的访问。如图24所示,经由并行寄存器2434访问核心2439完全独立于串行寄存器2431。 因此,通过并行逻辑对所有控制信号进行处理,不需要来自片上系统2430的串行扫描路径 的干预。函数〃 get_parallel_data〃简单采取并行片的名称(示意性地,“parallel.
31reg")作为自变量,由于不需要对比特流进行修改,因此函数体为空。图25示出了片上系统的并行端口与核心之间的示例连接的高级框图。如图25所 示,示例连接利用独立于串行控制的并行端口。具体地,连接2500包括TAP端口 2510以及 提供对片上系统2530的并行访问的外部并行端口 2520。片上系统2530包括可以经由TAP 端口 2510或经由外部并行端口 2520访问的核心2539。可以使用片上系统2530的串行扫描路径中的串行寄存器2531,经由TAP端口 2510来访问核心2539。串行寄存器2531控制经由第一接口 2533对核心2539的访问。可 以使用并行寄存器2534经由外部并行端口 2520来访问核心2539,其中并行寄存器2534在 片上系统2530的串行扫描路径的外部。并行寄存器2534控制经由第二接口 2535对核心 2539的访问。如图25所示,使用片上系统2530的串行扫描路径中的附加使能寄存器2531,来 控制经由并行寄存器2534和关联的第二接口 2535对核心2539的访问。使能寄存器2532 使用从使能寄存器2532至核心2539的控制接口 2537,来控制经由并行寄存器2534对核心 2539的访问。因此,片上系统2530的串行扫描路径包括TDI_>使能寄存器2532_>串行寄 存器 2531->TD0。因此,如图25所示,从片上系统2530的串行扫描路径,以串行方式控制经由并行 寄存器2534对核心2539的访问。在该实施例中,函数〃 get_parallel_data〃采取并行片 的名称(示意性地,"paralleling")作为自变量,并且由于需要对比特流进行修改,函 数体包括将使能寄存器2532的值设置为所需值的指令。图26示出了片上系统的并行端口与核心之间的示例连接的高级框图。如图26所 示,对于串行和并行数据,示例连接利用至核心的共享访问端口。具体地,连接2600包括 TAP端口 2610,以及提供对片上系统2630的并行访问的外部并行端口 2620。片上系统2630 包括核心2639,可以经由TAP端口 2610或经由外部并行端口 2620来访问核心2639。可以使用片上系统2630的串行扫描路径中的串行寄存器2631,经由TAP端口 2610来访问核心2639。串行寄存器2631控制经由第一接口 2633对核心2639的访问。可 以使用并行寄存器2634经由外部并行端口 2620来访问核心2639,该并行寄存器2634在 片上系统2630的串行扫描路径的外部。并行寄存器2634控制经由第二接口 2635对核心 2639的访问。如图26所示,使用共享访问端口 2636控制经由串行寄存器2631和并行寄存器 2634对核心2639的访问。共享访问端口 2636采用来自串行寄存器2631的第一接口 2633 作为第一输入,并且采用来自并行寄存器2634的第二接口 2635作为第二输入。共享访问 端口 2636选择输入之一,并经由共享访问接口 2638向核心2639提供所选的一个输入。如图26所示,使用片上系统2630的串行扫描路径中的附加使能寄存器2632来控 制共享访问端口 2636对输入之一的选择。使能寄存器2632使用从使能寄存器2632至共 享访问端口 2636的控制接口 2637,控制经由共享访问端口 2636和共享访问接口 2638对核 心2539的访问。因此,片上系统2630的串行扫描路径包括TDI_>串行寄存器2631_>使 能寄存器2632->TD0。因此,如图26所示,从片上系统2630的串行扫描路径,以串行方式来控制经由并 行寄存器2634对核心2639的访问。在该实施例中,片上系统2539宣告对分(dichotomy)(例如,通过将串行寄存器2631和并行寄存器2634标记为“交替”)。在这样的实施例中, 测试系统获知,当并行接口活动时,串行寄存器2631对核心2639没有影响(即,效果上,它 们是“死存储”)。该规则的关联之处在于,许多寄存器可以共享相同的并行端口。图27示出了片上系统的并行端口与核心之间的示例连接的高级框图。如图27所 示,串行寄存器和提供对片上系统的核心的并行访问的并行寄存器共享相同的触发器,从 而最小化提供对片上系统的核心的并行访问所需的资源。具体地,连接2700利用使能寄存 器2732、多个数据寄存器2731i-27318(共同为,数据寄存器2731)、以及多个共享访问端口 2733r27338 (共同为,共享访问端口 2733)。如图27所示,使能寄存器2732的数据输入是TDI输入,使能寄存器2732的数据 输出是第一共享访问端口 273h的输入之一,第一共享访问端口 2733i的数据输出是第一数 据寄存器273^的数据输入,第一数据寄存器273^的第一数据输出是第二共享访问端口 27332的输入之一,第二共享访问端口 27332的数据输出是第二数据寄存器27312的数据输 入,第二数据寄存器27312的第一数据输出是第三共享访问端口 27333的输入之一,第三共 享访问端口 27333的数据输出是第三数据寄存器27313的数据输入,依此类推,直到第八数 据寄存器27318的第一数据输出是TDO输出为止。还如图27所示,每个共享访问端口 2733包括第二数据输入(还耦合至扫描路径 中在前寄存器的数据输出)。共享访问端口 27331i-27338的第二数据输入分别耦合至来自 外部并行端口 2720的相应数据输入(这里表示为并行输入连接)。因此,每个共享访问端 口 2733选择来自其两个数据输入之一的数据(即,选择来自串行扫描路径中的在前寄存器 的数据输入或来自外部并行端口 2720的并行输入连接之一的数据输入,该外部并行端口 2720连接至共享访问端口 2733)。如图27所示,使能寄存器2732的输出应用于每个共享访问端口 2733,作为针对每 个共享访问端口 2733的输入选择信号,从而由每个共享访问端口 2733控制数据选择。如果使能寄存器2732的值指示,应当将串行数据(来自TAP端口 2710)提供给核 心2739,则从使能寄存器2732向每个共享访问端口 2733提供的输入选择信号指引每个共 享访问端口 2733来选择来自扫描路径中在前寄存器的输入(而不是来自并行输入连接的 输入,该并行输入连接连接至来自外部并行端口 2720的共享访问端口)。在这种情况下,共享访问端口 2733i选择来自使能寄存器2732的输入(而不是来 自外部并行端口 2720的并行输入连接的输入),从而使得将使能寄存器2732的值读入到第 一数据寄存器(并因此提供给核心2739)。类似地,在这种情况下,共享访问端口 27332选择来自第一数据寄存器2731i的输入(而不是来自外部并行端口 2720的并行输入 连接的输入),从而使得将第一数据寄存器273^的值读入到第二数据寄存器27312中(并 因此提供给核心2739)。换言之,尽管为了清楚起见,省略了对剩余数据转移的描述,但是其 他数据寄存器2731的类似数据转移使得能够将串行数据提供给核心2739。如果使能寄存器2732的值指示,应当将并行数据(来自外部并行端口 2720)提供 给核心2739,则从使能寄存器2732提供给每个共享访问端口 2733的输入选择信号指引每 个共享访问端口 2733来选择来自并行输入连接的输入(而不是来自扫描路径中在前寄存 器的输入),该并行输入连接连接至来自外部并行端口 2720的共享访问端口。在这种情况下,共享访问端口 2733i选择来自外部并行端口 2720的并行输入连接的输入(而不是来自使能寄存器2732的输入),该输入连接至共享访问端口 27311;从而使 得将来自外部并行端口 2720的并行输入连接的值读入到第一数据寄存器2731i中(并因 此提供给核心2739)。类似地,在这种情况下,共享访问端口 27332选择来自外部并行端口 2720的并行输入连接的输入(而不是来自第一数据寄存器273^的输入),该输入连接至 共享访问端口 27332,从而使得将来自外部并行端口 2720的并行输入连接的值读入到第二 数据寄存器27312中(并因此提供给核心2739)。换言之,尽管为了清楚起见,省略了对剩 余数据转移的描述,但是其他数据寄存器2731的类似数据转移使得能够将并行数据提供 给核心2739。此外,尽管连接2700的直接NSDL描述可能会十分复杂,但是应注意,这种类型的 连接在功能上等同于关于图26示出和描述的连接2600。在连接2600和2700中,“选择” 片的值决定是串行数据到达核心还是并行数据到达核心。连接2600与连接2700之间的唯 一不同在于预期值在连接2700中,共享寄存器,从而在并行访问的情况下,要预期的值是 并行值,而串行数据被盖写。尽管这里主要关于向具有一个并行端口的片上系统提供并行访问进行了示出和 描述(为了清楚起见),但是可以向具有多个并行端口的片上系统提供并行访问。类似地, 尽管这里主要关于向具有一个并行片的片上系统提供并行访问进行了示出和描述(为了 清楚起见),但是可以向具有多个并行片的片上系统提供并行访问。在这样的实施例中,可以以任何方式来标识多个并行端口。例如,可以使用有序编 号(例如,关于交叉器件所描述的)来标识多个并行端口。例如,具有η个并行输入和m个并 行输出的片上系统具有以下端口 ‘‘ parallel_in_<i>",i = 0,1,…,n_l ; ‘‘ parallel, out_<k>",k = 0,l,…,m-1。此外,每个并行端口具有其自己的函数,可以以任何方式来 标识每一个函数(例如,通过使用附加在结尾处的相应端口名称,例如“get_parallel_ data_parallel_in_0“ 、 “ set_scan_synchro_parallel_out_3“等)。相反,对于多个并行片的命名不存在限制,只要并行片的相应名称指示该片是并 行片(例如,名称可以以"parallel"开始)。在一个实施例中,其中"sencLparallel data"和〃 get_parallel_data〃采用片名称作为参数,可以将任何端口与任何并行寄存 器相连接。在另一实施例中,其中,‘‘send_parallel_data〃 和〃 get_parallel_data〃 不采用片名称作为参数,片上系统可以确切地声明每个端口是如何“连接至”一个或多个并 行片的。并行接口的NSDL描述包括扫描路径及其相关函数的描述。NSDL描述指示与并行 接口连接的实际物理端口(并行管脚)。这可以由顶层文件中经典BSDL/HSDL规则来处理 (例如,采用BSDL识别TAP信号的方式)。将并行通信协议的实现委托给并行接口驱动器。 告知测试系统哪些并行管脚受到哪些并行接口驱动器的控制。尽管这里主要关于针对并行端口的输入数据流进行示出和描述,但是针对并行端 口的输出数据流是对称的。换言之,对于可以针对至片上系统的输入数据流而实现的每个 输入连接类型(如关于图24-图27示出和描述的),可以为来自片上系统的输出数据流实 现相应的对称的输出连接类型。尽管这里主要关于支持并行访问接口的内部并行端口与片上系统的并行端口之 间的简单内部连接的并行访问接口进行示出和描述,但是并行访问接口可以支持并行访问接口的内部并行端口与片上系统的并行端口之间的更复杂的内部连接。这样,不管复杂性 如何,NSDL能够描述任何测试访问机制(TAM)。 在一个实施例中,可以使用一个或多个交叉器件来提供并行访问接口的内部并行 端口与片上系统的并行端口之间的内部连接。在一个这样的实施例中,选取和取消选定函 数可以用于处理内部连接,无论来自串行扫描路径还是来自并行端口。在另一实施例中,可以使用扇入/扇出方案来提供并行访问接口的内部并行端口 与片上系统的并行端口之间的内部连接。在这样的实施例中,并行端口的比特可以用于驱 动多个片上系统器件(即,通过内部并行端口在多个片上系统器件之间共享外部并行端口 的带宽)。因此,尽管这里主要关于提供对一个片上系统的器件的并行访问的并行访问器件 进行示出和描述,但是在其他实施例中,并行访问接口可以提供对多个片上系统器件的并 行访问。关于图28示出和描述了这种并行访问接口的一般连接方案。图28示出了并行访问接口的内部连接方案的高级框图。具体地,内部连接方案 2800提供至三个片上系统2820厂28203(共同为片上系统2820)的并行访问接口 2810。并 行访问接口 2810包括内部并行端口 2811、外部并行端口 2812、以及内部接口 2813。外部 并行端口 2812和内部并行端口 2811支持从测试系统至片上系统2820的η个输入连接,并 且支持从片上系统2820至测试系统的m个输出连接。如图28所示,每个片上系统2820包括支持并行输入连接和并行输出连接的并行 端口。并行访问接口 2810的内部端口支持(1)至每个片上系统2120的输入数据流的扇 出,以及⑵来自每个片上系统2120的输出数据流的扇入。外部并行端口 2812的η个输 入连接扇出到至片上系统2820i的i个输入连接,至片上系统28202的j个输入连接,以及 至片上系统28203的1^个输入连接(即,η = i+j+k)。外部并行端口 2812的m个输出连接 扇入自来自于片上系统2820i的ρ个输出连接,来自于片上系统28202的q个输出连接,以 及来自于片上系统28203的r个输出连接(即,m = p+q+r)。因此,使用NSDL描述语言,可以容易描述任何复杂度的片上系统器件。可以描述 片上系统器件的任何测试资源,包括组件(例如,IP、仪器、交叉器件等),组件之间的互连 等,及其各种组合。在NSDL中,片上系统的测试资源的描述是算法描述,其中,每个算法描 述包括以适于测试工具理解的格式而定义的一个或多个构成规则。图29示出了用于描述片上系统的测试资源的方法。尽管示出和描述了以串行方 式执行,但是可以同时地或者以与关于图29示出和描述的顺序不同的顺序来执行图29的 方法2900的步骤的至少一部分。方法2900开始于步骤2902,并前进至步骤2904。在步骤2904,产生片上系统的每个组件的算法描述。每个组件的算法描述描述了组件所支持的至少一个函数到针对该组件的至少一 个寄存器值的映射。每个组件的算法描述描述了组件的内部扫描路径。在一个实施例中,通过以下方式来产生片上系统的组件的算法描述标识由组件 支持的至少一个函数;产生组件的算法描述,其为至少一个函数中的每一个,定义该函数至 针对组件的至少一个寄存器的至少一个寄存器值的映射;以及存储组件的算法描述。在步骤2906,产生片上系统的组件之间的互连的算法描述。组件之间互连的算法 描述指定片上系统的系统级拓扑。
在步骤2908,使用组件的算法描述和组件之间互连的算法描述来产生片上系统的 算法描述。片上系统的算法描述描述了片上系统的拓扑,根据该拓扑,可以构建片上系统的 扫描路径的描述。在步骤2910,存储片上系统的算法描述。可以存储每个组件的各个单独算法描述。 存储组件之间互连的算法描述。可以以任何方式存储算法描述。在步骤2912,方法2900结
束ο算法描述适于测试工具理解,以用于测试片上系统。这样,处理器可以接收算法描 述(例如,从存储器、从另一系统、或者从这种描述的任何其他源),以执行各种测试(例如, 组件级测试、系统级测试等,及其各种组合)。如这里所述,在一个实施例中,可以使用VHDL实现NSDL语言。在一个这样的实施 例中,可以通过上下文巴克斯范式(BNF)语法来形式化针对NSDL的语法规则。例如,BNF容 易描述语法结构的产生,如以下示例<entity_declaration>=ENTITY<identifier>IS<entity_header><entity_declarative_part>[BEGIN<entity_statement_part>]END[ENTITY][<entity_simple_name>];在该示例中,符号'='指示,可以将左侧元素派生至右侧结构中。右侧可以
由更多派生词素(以大写字符指示的不可再派生的原子元素)构成。节点是派生点,而叶 节点是不能再派生的节点(即,右侧仅包含词素)。方括号'['和‘Γ用于表达可选的 派生(它们用于定义递归规则)。符号' <'和' >'用于指示进一步派生。与VHDL规则 一致,引号用于指示串。这种类型的语法能够产生在结构上匹配语言的任何可能“短语”,并能够用于验证 给定文本是否属于该语言(即,其是否遵照规则)。这仅仅是结构性描述,且不能够传递与 其“含义”有关的任何信息,而是,必须添加属性,以考虑到上下文信息Left_hand 个(H) J, (L) = right_hand_0 个(HO) J, (LO) [right_ hand_l (HI)丨(Ll)],其中:丨(L)指示从低级派生导出并传输至高级派生的信息;丨(H)指示从高级派生导出并传输至低级派生的信息;每个节点可以定义一组规则,以定义如何从HO…Hn开始计算H,以及如何从L开 始来获得不同的LO…Ln;以及每个级别能够为定义对于H、L、Hi以及Li的一组条件,以使短语在该语言中具有 “含义”。以下规则(编号[1]至[14])描述IP和仪器的声明[l]<IP_declaration> (H, η) (P_info) (Ext) (Cross) (Par)::=IP<identifier>IS
<device_header> 个(Ext)个(Cross_decl)个(Par)BEGIN<IP_instrument_archi_body> 个(H,η) I (Ext)个(P_info)个(Sel_Cross)个(Par_dec)END [IP] [<device_simple_name>];规则=Cross = Cross_decl U Sel_Cross如果Cross—decl /=0,检查对于每个“有线”元件是否存在“选 择”/ “取消选定”语句,以及对于每个“事务”元件是否至少存在“选择”。 NB Cross_decl =0 O Sel_Cross 二0,否则错误检查是否已经解决了〃 architecturejiody"中的所有模块间依赖性。如果Par/=0,检查在(H,η)内是否存在至少一个并行寄存器,并且在(Pjnfo) 内是否存在相应〃 get_parallel_data〃 /〃 send_parallel_data〃 的声明。如果Par_dec/=0,检查其与Par中信息(端口名称、并行寄存器、连接,扇入扇出 等)的一致性。NB: Par_decl =0 G Par=0,否则错误。[2] <instrument_declaration> 个(H, η)个(Ρ_ info)个(Ext)个(Cross)个(Par)=INSTRUMENIXi dent ifier> IS<device_header> 个(Ext)个(Cross_decl)个(Par)个(Cross_decl)BEGIN<IP_instrument_archi_body> 个(H,η) I (Ext)个(P_info)个(Sel_Cross)个(Par_dec)END [instrument] [device_simple_name];规贝1J :Cross = Cross_decl U Sel_Cross [Same as rule 1][3]<IP_instrument_archi_body> 个(P_info) I (Ext)个(Sel_Cross)个(Par dec)::=ARCHITECTURE<architecture_simple_name>OF<entity_name>ISarchitecture_declarative_partBEGIN<IP_instr_stat_part> 个(H, η)个(P_info) I (Ext)个(Sel_Cross)个(Par dec)END[ARCHITECTURE][<architecture_simple_name>];[4] <IP_instr_stat_part> 个(HIn)个(P_info) I (Ext)个(Sel_Cross)个(Par dec)::=<intemal_scan_path> 个(H, η)[<parallel_declarations> (Par—dec)]<IP_instrument_statement_part> I (Ext)个(P_info)个(Sel_Cross)[5]<device_header> 个(Ext)个(Cross_decl)个(Par)::=
GENERIC( [;<parallel_information> (Par)] [;<external_dependencies> 个(Ext)]);该规则实现与扫描路径的链接的定义以及最终外部引用的声明。NB :<crossroad_information> 在规则[10]中导出。[6]<external_dependencies> 个(Ext)::=<external_reference> 个(Νθ¥_Ξχ ) [;〈external一dependencies〉个(Old—Ext)]规贝丨J =Ext = New_Ext U 01d_Ext[7]<external_reference> 个(Ext):: = <string_identifier>:stringRule:个(Ext) = string_identifier这些入口中的每一个定义针对外部元素的符号名称。该符号用于针对外部函数依 赖性来引用该元素。[8]IP_instrument_statement_part I (Ext)个(P_info)=<proc_func_list> I (Ext)个(P_use)个(Sel_Cross)[TEST_SET<proc_func_list> 丨(Ext)丨(P_test)END TEST_SET ;]该规则描述关于仪器使用的强制过程集合以及可选测试过程集合。P_Info = P_ Test UP_use。[9]<internal_scan_path> (H, η)=<component_instantiation_statement>[<internal_scan_path>]在〃 Partial Access (部分访问)〃或〃 Full Access (全访问)〃的情况下,扫 描路径由其组件的直接实例化来描述(见针对(H,n)的上下文规则的规则[37]-[41])。注 意该规则实现仪器和IP嵌套。如果不存在该派生,则标识"无访问"器件。[10]<crossroad_information> (Cross)=PRECEDENT: STRING: =〃 TDI 〃 ;FOLLOWING:STRING: =〃 TDO〃[〈tributaries〉个(Affls)][〈affluents〉个(Tribs)];规贝丨J =Cross = Affls U Tribs[11]〈tributaries〉个(Tribs)::=TRIBUTARY:STRING: =〃 deselected";<numbered_tributaries> (num_tribs);规则Tribs=" tributary"I Tribs = num_tribs[12]<numbered_tributaries> 个(Tribs)::=TRIBUTARY_<numeral>:STRING: =〃 deselected"[;<numbered_tributaries> (01d_Tribs)]规贝lJ:Tribs=〃 tributary_<numeral>" U 01d_tribs
38
[13]〈affluents〉个(Affls)=AFFLUENT:STRING: =" deselected";
<numbered_affluents> (num_affIs);
规则Affls=" affluent"Affls = num_affIs [14]<numbered_affluents> 个(Affls)::=AFFLUENT_<numeral>:STRING: =" deselected[;<numbered_affluents) (01d_Affls)]规则Affls="affluent_<numeral>〃 U 01d_Affls规则[1]-[14]的仪器和IP的定义允许对IP/仪器多次实例化(例如,像利用经 典VHDL组件所进行的那样)。这在规则[15]中示出。
[15]component_instantiation_statement= instantiation label:
instantiated unit
「Reneric map aspect]
「port map aspect];
从语法观点来看,该实例化规则(规则[15])与经典VHDL中的确切地相同。所有 新颖性都在上下文侧(1)实例化将器件的拷贝创建到扫描路径中。编译器可以容易地从编译库获取其 信息,并使用它们来完成系统扫描路径。(2)通用映射负责指定精确扫描路径插入点(“precedent"、“ following", 支流和分支)。(3)其他通用映射将外部元素的符号名称分解成实际名称(即相应实例的标签)。 测试工具还必须检查所引用的过程实际存在于实例化的元素中。以下规则(编号[16]至[33])描述了示例过程[16]<proc_func_list> J, (Ext) (P_info)=[<proc_func_proto_list>]<complete_proc_func_list> I (Ext)个(P一info)[17]<proc_func_proto_list>=<proc_func_prototype>[ ; <proc_func_proto_li st>] [18]<proc_func_prototype>:: = <procedure_prototype><function_prototype>[19]<procedure_prototype>=procedure<procedure_name>(<formal_parameter_list>) [DEPENDENCIES(<dep_list>);] LENGTH(<length_descriptor>); BUSY_M0DE(<mode_identifier>);[C0NNECTI0N<connection_type> ;]
规则过程原型仅仅是语法手段,以使得代码对于人类用户而言更加可读。它们不携带上下文信息。
<proc_func_prototype>[ ; <proc_func_proto_li st>] [18]<proc_func_prototype>:: = <procedure_prototype>
NB 仅针对选择过程,允许“连接”派生。[20]<function_prototype>:=FUNCT10N<prο c e dure_name >(<formal_parameter_list>)[DEPENDENCIES(<dep_list>);]LENGTH(<length_descriptor>);BUSY_M0DE(<mode_identifier>);[<optional_attributes>]RETURN<type> ;规则过程原型就是仅仅是语法手段,以使得代码对于人类用户而言更加可读。它 们不携带上下文信息。NB 仅针对选择过程,允许“连接”派生。[21]<formal_parameter_list>规则参数遵照正常VHDL参数的语法。通过显式命令来进行对扫描路径片的引用。[22]<complete_proc_func_list> I (Ext)个(P_info)个(Cross)::=〈complete一procedure〉 I (Ext)个(new一P)个(Cross一Info)[ ;<complete_proc_func_list> J, (Ext)个(OldP)个(01d_Cross)]<complete_function> I (Ext)个(new_P)[ ;<complete_proc_func_list> I (Ext)个(OldP)]规则P_info= 01d_P U New_PCross = 01d_Cross U Cross_info[23]〈complete一procedure〉 I (Ext)个(Proc_info)个(Cross一info)::=PR0CEDURE<prοcedure_name 个(Sel_info)>(<formal_parameter_list> 个(P))[DEPENDENCIES (<dep_list>)丨(Ext)丨(D);]LENGTH(<length_descriptor> 个(L));BUSY_M0DE(<mode_identifier>)丨(M);[C0NNECTI0N<connection_type> 丨(C_type);]ISbegin<procedure_body>END<procedure_name> ;规则P =参数信息(标准VHDL)。一个参数至少应当指代片或静态比特流。L =过程长度信息D =依赖性信息M =忙模式信息<procedure_name> 是字面标识符<procedure_body> 类似正常 VHDL 中的派生
Proc_info = PULUDUMCross_info =如果Sel_info/=0 (SeL[l]-SeL[6]中的命名规则)则Cross_info = Sel_info U C_type NB: Sel—info=0<~>C_type=0,否则出
错[24]<complete_function> I (Ext)个(Proc_info)::=FUNCTION<function_name> formal_parameter_list> (P))[DEPENDENCIES (<dep_list>)丨(Ext)丨(D);]LENGTH(<length_descriptor> 个(L));BUSY_M0DE(<mode_identifier>)丨(M);RETURN<type>ISBEGIN<function_body>END<function_name> ;规则P =参数信息(标准VHDL)。一个参数至少应当指代片或静态比特流。L=过程长度信息D =依赖性信息M =忙模式信息<function_name> 是字面标识符<function_body> 类似正常 VHDL 中的派生Proc_info = PULUDUM[25]<dep_list> I (Ext) (D_new)=<dependence> I (Ext) (D)[ ;dep_list I (Ext) (D_old)]规则D_new = D_old U D 或 D_new = D[26]<dependence> I (Ext) (D):: = <string_identifier> (P)<string_identifier> 个(E) · <string一identifier〉个(P)规则P =依赖过程的名称E =在检查E e Ext中定义外部器件P的名称,否则错误D = PUE[27]<mode_identifier> 丨(M) = HOLD|D0NT_CARE规则M=〃 hold"或〃 dont_care"[28]<length_descriptor> 个(L) = <length_exp> 个(T)[<end_cond> 个(C)]规则L= T U C[29]<length_exp> (T) = <time_exp> (T)<time_exp> 个(L) ,<time_exp> 个(A) , <time_exp> 个(U)规则T= T 或 LUAUUL=函数长度的下限A =平均函数长度
U=函数长度的上限[30]<time_exp> 个(T):: = <numeral><time_type>|<numeral>规则T包含绝对时间或时钟计数(如果不指定时间单位)[31]<end_cond> 个(C):: = <boolean_expression>规则布尔表达指示过程的结束条件。其应当使用来自由显式命名标识的片的信号。[32]<connection_type>: = WIRED|TRANSACTION[33]<optional_attributes>=规则有意地将该派生保留为开放的。通过定义可选的参数,任何两个操作员能够 交换他们所偏好的格式的信息,而不是在标准化时间选择的任意一种。例如,这能够用于给 出用于功率管理的开关活动的估计,或者直接给出焦耳/瓦。测试工具忽略其未实现的派 生,最后产生警告。如这里所述,选择过程由它们的名称标识。以下规则(编号Sel [1]至Sel [6])说 明了对使用类似BNF语法的选择过程的命名的控制。这里关于图8、图9示出和描述了示例 交叉器件,并且图10示出了这些规则的示例应用。
0718]sel [1]<procedure_name> 个(Sel_info)=
0719]<radix>[<extentions> 个(Affls)个(Tribs)]
0720]规则:Sel_info= (Affls) U (Tribs) 0721 ]sel[2]<radix> = SELECT|DESELECT
0722]sel[3]〈extensions〉=
0723]〈affluents 个(Affls)>|〈tributariest 个(Tribs)>
0724]sel[4]<affIuents (Affls)>: = AFFLUENT
0725]<numbered_affIuents (Nmb_Affls)>
0726]
0
0727]规则如果(affluent)Affls=〃 All";
0728]如果(numbered_afTluents)Afflls = Nmb_Affls
0729]如果(0) Affls= 0;
0730]说明该规则检测函数命令的支流,函数进行命令。可以为无(即,规则以空集结
束)、所有支流(非指定)、或仅仅为子集(一个或多个affluentjnmb ;sel[5]<numbered_affluents 个(New_Affls)>=AFFLUENT_<nmb>[ ;<numbered_affluents (01d_AffIs)>]规则<nmb>可以是任何自然数New_Affls = 01d_Affls U afflunent_<nmb> ;sel[6]<tributariess (Tribs)>: = TRIBUTARY<numbered_tributaries (Nmb_Tribs)>
0规则如果(tributary)Tribs=" All";
42
如果(numbered_tributaries) Tribs = Nmb_Tribs如果(0) Tribs=0;说明该规则检测函数命令的分支。可以为无(即,规则以空集结束)、所有分支 (非指定)、或仅仅为子集(一个或多个tributary_<nmb>);对于自动测试产生工具所要使用的选择过程,还需要标准化的自变量,使得自动 测试产生工具知道如何处理选择过程。遵照实际选择算法,存在两种引用派生的方式(1) 通过显示命名,即,使用“串”或等同类型;(2)通过对派生的有序编号,即,使用适宜大小的 std—logic—vector。自变量随着过程控制的派生而改变(从选择规则Sel [1]-Sel [6]看出)。以下原 型与最一般的情况有关(NB 即使该示例为“选择”,相同规则对于“取消选定”当然也是有 效的)1)select(tributary—nmbin std_logic_vector,affluent—nmb:in std_logic_vector)2)select (tributary—name:in string,affluent—name: in string)自动测试产生工具只须填入相应的名称/编号。在更精确的选择函数的情况下,
仅需要使用一些自变量(例如,图9的分级开关器件的取消选定函数),如果名称本身已经 唯一标识了目标(例如,图8的SIB),则最终为空。 以下规则(规则[34]至[41])基于VHDL 93,并与VHDL 93兼容,但是明显更简 单。已经移除与NSDL不直接相关的所有派生。应注意规则[34]至[39]是经典VHDL语法 规则,这里所示的仅用于描述新的上下文规则。[34]library_unit:=primary_unitIsecondary_unit[35]primary_unit (H, η) (P_info) (Cross) (Par)::=entity_declaration 个(H, η)I configuration—declarationpackage_declarationIP_declaration (H, η) (P_info) (Ext) (Cross) (Par)lnstrument_declaration 个(H,η)个(P_info)个(Ext)个(Cross)个(Par)注意这是NSDL与VHDL集成的规则,允许IP和仪器定义为顶层实体;这也是如下
所在的点测试工具完成分级分析,将分级分析的信息存储在(H,n)、(P_inf0)、(Cr0SS)以 及(Par)中。规则Ext = 0,否则出错(其是顶层模块)[36]secondary—unit=architecture—bodyI package—body[37]entity—declaration 个(H,η)::=ENTITY<identifier>IS
END[entity][entity_simple_name];
entity_header entity_declarative_part规则该规则描述由编译器在实例化时使用的所定义实体的扫描路径内部。该规 则能够用于描述非P1687兼容的实体,该实体具有扫描路径,但不具有函数/过程集合。注 意,实体保持为与在经典VHDL中类似,从而他们不允许外部依赖性。[38]architecture—body 个(H,η)=ARCHITECTURE<architecture_simple_name>OF entity_name ISEND[architecture][<architecture_simple_name>];规则检查(P,F)所定义的扫描链的完整性(以TDI开始,以TDO结束,没有漏洞、 线性而非分级等)。[39]architecture_statement_part 个(H,η)个(P,F)=[component一instantiation一statement 个(HijIii)个(P, FOi]规则H = U Hi+ (H_in,H_out) j(P,F) =U (P,F)i,η = Σ[40]<scan_path> (SP_info)=<component_instantiation_statement> 个(C_info)[<scan_path> 个(S_old)]规则也可以将该规则解释为,在并发语句上对VHDL规则的上下文检查,这将规 则的开发限制到扫描链相关的单元实例的实例。SP_info = S_old U C_infor使用C_info内的P和F来检查扫描路径完整性。[41]component_instantiation_statement 个(C_info)=instantiation_labelinstantiated_unit (H, η) (P, F[, H_in, H_out])[generic_map_aspect][port_map_aspect];规则n(单元数目),H(分级信息),P(在前),F在后),从数据库中 的"instatiated_unit〃描述中获取H_in, H_out,由控制单元引入的分级扫描路径C_info = (H, η) U (P,F[,H_in,H_out])以下规则(编号[42]至[57])包括用于并行访问的示例正式规则BEGIN
architecture_declarative_part
architecture一statement一part (H, η) (P, F)
44[42]<parallel_information>个(Par)::= [<parallel_inputs> (par_in)]
规贝1J :Par = par_in U par_out<parallel_declarations> (Par—dec)::= [<parallel_connection> 个(par_connection)] [〈alternates〉 (alter_info)] [<fanning> (fanning_info)]
规则:Par_dec = par—connection · fanning_info · alter_info<paralle_inputs> (par—in)::= <single_parallel_input> (idf) <numbered_par_inputs> (par_ins);
规则par_in = idf
par_in = par」ns<single_parallel_input> (idf)::= PARALLEL_IN:STRING: =" deselected";
规则idf=" parallel」n"<numbered_par_inputs> (par_in)::= <numbered_par_input> (idf) 规贝1J :par_in = idf U par_ins<numbered_par_input> (idf)::= PARALLEL_IN_<numeral>:STRING: =" deselected"
规则idf =〃 parallel_in_<numeral>〃<parallel_outputs> (par—out)::= <single_parallel_output> (idf)
<numbered_par_outputs> (par_outs); 规贝"J :par_out = idf
par_out = par_outs<single_parallel_output> (idf)::= PARALLEL_OUT:STRING: =" deselected";
规则:idf =〃 parallel_out"<numbered_par_outputs> (par_outs)::= <numbered_par_output> (idf) 夫JS贝1J :par_outs = idf U old_par_outs<numbered_par_output> (idf)::=
PARALLEL OUT〈numeral>:STRING: =" deselected"
CN规贝lj:idf=〃 paral 1 el_out_<numeral>“[52]<fanning> (fanning_info)=<port_name> 个(idf) PAN<proveriMice> 个(provenance一info);规贝1J :fanning_info = idf U provenance_info[53]<port_name>(idf) = <single_parallel_output> 个(idf)I<numbered_par_outputs> 个(idf)<single_parallel_input> (idf)<numbered_par_inputs> (idf)[54]<provenance> 个(provenance_info)=<port_name> 个(idf) [&<proven£ince> 个(old_prov)]规则:provenance_info = old_prov U idfNB: 〃标识符〃该规则允许扇入和扇出的描述(关于图28所示出和描述的),而不具有对用于该
构成的并行端口的任何约束。使用全部端口,遵照VHDL信号的拼接规则(‘&'符号)进 行构成。[55]<alternates>(alter_info)=<serial_reg> 丨(idf) IS ALTERNATE 0F<alter_regs> 丨(idf_list);规则alter_info = idf U idf_list[56]<register_list> (idf_list)=<parallel_reg> 个(idf) [,<register_list> 个(old_idf_list)];规贝丨J:idf_list = idf U old_idf_list‘‘ parallel_reg〃 是经典 VHDL 标识符。[57]<parallel_connection> 个(par_connection)=<port_name> (idf)C0NNECTS<register_list> (idf_list);规贝丨J :par_connection = idf U idf_list如这里所述,以类似于交叉器件的方式,并行接口使用一些命名规则来标识关键
资源。需要命名的元素包括并行片可以由并行连接访问的片,其名称以“parallel」’开始。遵照连接方案, 这些片完全独立于串行扫描路径或串行扫描路径的一部分。并行函数通过两个特定函数‘‘get_parallel_data〃和〃send_parallel_ data",来获得对并行资源的访问。如这里所述,对于并行接口存在三种可能的同步模式与扫描链同步、突发、以及 异步。在一个实施例中,通过特定函数在这些模式之间切换,该特定函数正如交叉选择函数 一样,在需要时指定必须如何改变比特流。这些函数包括function set—scan—synchro return boolean ;function set—burst(length:in burst—length—type)return boolean ;function set_asynchro return boolean ;function disable—port return boolean ;测试工具通过跟踪对这些函数的调用,能够容易获知哪个模式是活动的。器件仅声明针对其实际实现的模式的函数。必须在并行接口内部将类型"bUrSt_length_type"定义为整数子类型,使得开 发人员能够指出允许用于突发的值的范围。示例包括“subtype burst_length_type is 3到10的整数范围〃,“typeburSt_length_type是(6,8,10) 〃等。该解决方案意味着 每个并行接口声明其自己的"bUrSt_length_type",这仅在局部是有效的,从而不会干涉 最终的其他接口。在并行接口具有多于一个端口的实施例中,函数所引用的端口的名称被附加至函 ■^l^oT^i^J^ll :" set_scan_synchro_parallel_out_0 “ , “ disable_port_parallel_ in"等。上述BNF规则仅组成了可以用于实现NSDL的规则的示例。本发明并不意在受限 于这样的规则。图30示出了适合于执行这里描述的函数的通用计算机的高级框图。如图30所示, 系统3000包括处理器元件3002 (例如,CPU)、存储器3004 (例如,随机存取存储器(RAM) 和/或只读存储器(ROM))、测试模块3005、以及各种输入/输出设备3006 (例如,存储设备, 包括但不限于磁带驱动、软盘驱动、硬盘驱动或光盘驱动、接收器、发送器、扬声器、显示器、 输出端口以及用户输入设备(例如,键盘、键区、鼠标等))。应注意,本发明可以以软件和/或软件和硬件的组合来实现,例如,使用专用集成 电路(ASIC)、通用计算机或任何其他硬件等同物。在一个实施例中,能够将本发明测试过 程3005加载到存储器3004中,并由处理器3002执行,以实现如上所述的功能。这样,能够 将本发明的测试过程3005 (包括关联的数据结构)存储在计算机可读介质或载体上,例如 RAM存储器、磁或光驱动或磁盘等。尽管这里主要关于可以使用NSDL描述和测试的片上系统器件的特定实现方式进 行了示出和描述,但是可以使用NSDL描述和测试各种其他片上系统器件。尽管这里主要示 出和描述了关于使用NSDL来描述和测试片上系统,但是可以使用NSDL描述和测试各种其 他电子电路。本发明并不意在仅限于描述和测试这里示出和描述的特定电子电路。尽管这里主要关于可以用于使用NSDL来描述和测试片上系统的测试系统的特定 实现方式进行了示出和描述,但是测试系统的各种其他实现方式可以用于使用NSDL来描 述和测试片上系统。本发明并不意在仅限于这里示出和描述的测试系统的特定实现方式。这里作为软件方法讨论的一些步骤可以在硬件内实现,例如,作为与处理器协作 以执行各种方法步骤的电路。本发明的一部分可以实现为计算机程序产品,其中,当由计算 机处理时,计算机指令适配计算机的操作,使得能够调用或以其他方式提供本发明的方法 和/或技术。可以将用于调用本发明的方法的指令存储在固定或可拆卸的介质中、经由广 播的数据流或其他信号承载介质进行传输、和/或存储在根据指令进行操作的计算设备内 的存储器中。尽管这里已经详细示出并描述了结合本发明的教导的各种实施例,但是本领域技 术人员能够容易设想同样结合这些教导的其他改变的实施例。
4权利要求
一种使用适于对片上系统的组件的访问进行控制的器件来测试片上系统的至少一部分的方法,所述方法包括接收对经由架构而互连的输入连接集合和输出连接集合的描述,所述架构适于动态地控制对组件的访问,其中,输入连接集合包括扫描路径输入连接以及至片上系统的组件的至少一个组件访问输入连接,输出连接集合包括扫描路径输出连接以及来自片上系统的组件的至少一个组件访问输出连接;以及存储对经由所述架构而互连的输入连接集合和输出连接集合的描述。
2.根据权利要求1所述的方法,其中,所述架构包括开关架构、总线架构以及网络架构 中的一个。
3.根据权利要求1所述的方法,其中,所述架构适于动态地修改片上系统的系统扫描路径。
4.根据权利要求1所述的方法,其中,所述描述包括算法描述。
5.根据权利要求1所述的方法,其中,所述至少一个组件访问输入连接和所述至少一 个组件访问输出连接适于被动态地选择,以将所述组件添加至片上系统的扫描路径,并被 动态地取消选定,以从片上系统的扫描路径中移除所述组件。
6.根据权利要求1所述的方法,其中,至少一个器件适于经由所述至少一个组件访问 输入连接和所述至少一个组件访问输出连接,使用至少一个事务将所述组件添加至片上系 统的扫描路径。
7.根据权利要求1所述的方法,其中,所述架构适于支持至少一个连接,以动态地控制 对组件的访问。
8.根据权利要求1所述的方法,其中,扫描路径输入连接适于接收应用于片上系统的 输入测试访问端口的测试比特流,扫描路径输出连接适于向片上系统的输出测试访问端口 提供测试比特流。
9.一种用于测试片上系统的组件的方法,其中,片上系统包括扫描路径,组件包括至少 一个寄存器,所述方法包括通过对组件的算法描述进行处理,将组件的至少一个函数转换成针对所述组件的至少 一个寄存器的至少一个寄存器值;通过对片上系统的算法描述进行处理,来定位片上系统的拓扑内所述组件的位置;通过对交叉器件的算法描述进行处理,来驱动交叉器件,其中,所述交叉器件适于动态 地将所述组件添加至片上系统的扫描路径;以及使用针对所述组件的至少一个寄存器值以及片上系统的拓扑内的所述组件的位置,来 测试所述组件。
10.一种用于测试片上系统的组件的装置,所述装置包括输入连接集合,包括扫描路径输入连接、以及至片上系统的组件的至少一个组件访问 输入连接;以及输出连接集合,包括扫描路径输出连接、以及来自片上系统的组件的至少一个组件访 问输出连接;其中,所述至少一个组件访问输入连接和所述至少一个组件访问输出连接经由架构而 互连,所述架构适于支持多个连接,以动态地控制对组件的访问。
全文摘要
本发明提供了一种用于片级JTAG测试的新硬件描述语言。这种新硬件描述语言被称作新BSDL(NSDL),使得能够描述片上系统的测试资源,从而使得能够以便于片上系统测试的方式来描述片上系统。本发明提供了一种自下至上的方法来描述片上系统。本发明支持片上系统的每个组件的算法描述,并且支持片上系统的组件之间的互连的算法描述,从而能够产生整个片上系统或部分片上系统的算法描述。本发明支持适于动态修改片上系统的扫描路径的器件(这里被称作交叉器件),包括用于描述这种器件以及使用这种器件的来执行片上系统测试的方法。
文档编号G01R31/3185GK101883991SQ200880118835
公开日2010年11月10日 申请日期2008年11月25日 优先权日2007年12月4日
发明者塔潘·乔蒂·查克拉伯蒂, 姜辰焕, 布拉德福·吉恩·范特卢勒, 米歇尔·波多兰, 苏勒什·戈雅尔 申请人:阿尔卡特朗讯美国公司
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1