一种基于fpga的rs232、rs485及can转以太网装置制造方法

文档序号:40155阅读:373来源:国知局
专利名称:一种基于fpga的rs232、rs485及can转以太网装置制造方法
【专利摘要】本实用新型公开了 一种 基于FPGA的RS232、RS485及CAN转以太网装置。该装置的 CPU控制模块与FPGA协议转换模块的AD总线端口连接,RS232电路模块与FPGA协议转换模块的RS232串行端口相连,RS485电路模块与FPGA协议转换模块的RS485串行端口相连,CAN电路模块与FPGA协议转换模块的CAN总线端口相连,以太网PHY模块与FPGA协议转换模块的MII端口相连。该装置可以同时实现RS232、RS485及CAN总线转以太网,节省了转换设备运行的成本,与此同时由于使用FPGA作为协议转换芯片,可以实现高速数据的转换。
【专利说明】—种基于FPGA的RS232、RS485及CAN转以太网装置

【技术领域】
[0001]本实用新型设计涉及一种总线转以太网装置,尤其是一种基于FPGA的RS232、RS485及CAN转以太网装置。

【背景技术】
[0002]RS232、RS485和CAN总线属于现场设备之间通信的常用接口方式。其中RS232是每台设备的必备接口,通常用于配置及检测设备的各项参数,实现对设备的管理。RS485和CAN总线则主要用于在制造或过程区域的现场装置与控制室内的自动装置之间的数字式、串行、多点通信,解决工业现场的智能化仪器仪表、控制器、执行机构等现场设备间的数字通信以及这些现场控制设备和高级控制系统之间的信息传递问题。
[0003]以上接口虽然解决各种设备的数据通信问题,但是无法实现长距离的传输。如RS232能够可靠进行数据传输的最大通信距离约为15m,RS485最大的通信距离约为1.2km,CAN总线通信距离最远可达到10km,但是通信速率在5kbps以下,这些缺点限制了异地设备之间的通信。以太网是目前接入因特网、实现全球数据通信的最方便的接口方式,并且速率可以达到100Mbps以上。因此将RS232、RS485及CAN总线转成以太网进行数据传输成为了一种新的通信发展趋势。
[0004]FPGA (Field — Programmable Gate Array),即现场可编程门阵列,它是在 PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物,是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。与此同时,由于使用硬件描述语言完成电路设计,并且FPGA内部集成锁项环,可以把外部时钟倍频,核心频率可以到百兆以上。因此其在处理高速数据上有着无可代替的地位。
[0005]目前市场上存在着单种接口转以太网数据的设备,如RS23转以太网装置、RS485转以太网装置及CAN转以太网数据装置。当需要多种接口时,常常需要多台协议转换装置,这无疑加大的设备运行的成本,并且现有协议转换装置由于多数使用软件代码实现功能,效率和速度受到限制,因此提供一种具有多种接口并且可以对数据进行高速处理的协议转换装置就很有必要。
实用新型内容
[0006]为了克服现有RS232、RS485和CAN总线传输距离有限、设备运行成本高的缺点,本实用新型提供一种基于FPGA的RS232、RS485及CAN转以太网装置。该装置可以同时实现RS232、RS485及CAN总线转以太网,节省了转换设备运行的成本,与此同时由于使用FPGA作为协议转换芯片,可以实现高速数据的转换。
[0007]本实用新型解决其技术问题所采用的技术方案是:一种基于FPGA的RS232、RS485及CAN转以太网装置,包括:CPU控制模块、FPGA协议转换模块、RS232电路模块、RS485电路模块、CAN电路模块以及以太网PHY模块;CPU控制模块的型号为MPC8308,FPGA协议转换模块使用Altera公司的EP4CE10E22C8芯片,FPGA协议转换模块包括寄存器配置单元、数据处理及存储单元、数据流控制单元和以太网数据协议转换单元,寄存器配置单元分别与数据处理及存储单元、数据流控制单元及以太网数据协议转换单元连接,CPU控制模块与FPGA协议转换模块的AD总线端口连接,RS232电路模块使用MAX232芯片,RS232电路模块与FPGA协议转换模块的RS232串行端口相连,RS485电路模块使用MAX485芯片,RS485电路模块与FPGA协议转换模块的RS485串行端口相连,CAN电路模块使用MPC2510作为CAN控制器,用PCA82C250作为CAN收发器,CAN电路模块与FPGA协议转换模块的CAN总线端口相连,以太网PHY模块采用IPlOl芯片,以太网PHY模块与FPGA协议转换模块的MII端口相连该装置的核心部分是FPGA内部的逻辑设计,该部分采用VHDL语言实现,设计了一系列的FPAG内部寄存器用来设置通信协议参数,完成了 RS232、RS485及CAN接口的通信协议,以及在以太网数据转换中CRC、CHECKSUM计算。本装置能同时接收处理四种类型的数据,分别为RS232、RS485、CAN及以太网数据。在使用之前CPU控制模块会根据要求通过AD总线写FPGA内部自定义的寄存器,来实现对通信参数的设置,当RS232电路模块和RS485电路模块接收到其他设备发送的数据时,会使用内部芯片将数据转换为TTL电平数据,并通过接口送到FPGA内部,FPGA内通过VHDL语言编程实现数据的处理及存储,当CAN电路模块接收到其他设备发送的数据时,会通过中断信号告知FPGA,FPGA通过SPI接口读取CAN控制器芯片的相应寄存器,得到数据并存储,当检测到FPGA内存RAM中有数据时,会将数据装换成以太网数据,通过MII接口发送到以太网PHY模块,当以太网PHY模块接收到UDP以太网数据时,会通过MII接口发送到FPGA,首先FPGA会根据MAC、IP判断是否为所需要的数据包,不是则丢弃,是则进行拆包,将UDP数据包中的解析并存储,同时根据数据包中端口号来判断将数据转成RS232、RS485或CAN数据协议。如需要转成232协议,FPGA会将数据转换成TTL数据通过232接口发送给RS232电路模块。
[0008]本实用新型的有益效果在于:
[0009]1、多种通讯数据接收发送功能。既可以将RS232、RS485和CAN数据透明、高效地转化为以太网数据,也可以将以太网数据转换为RS232、RS485和CAN数据,实现了对具有这些接口设备的远程管理及远程通信。
[0010]2、数据协议可操作性强。通过读写FPGA内部的寄存器,可以改变通讯协议的每一个配置。如232和485数据的波特率、数据位、奇偶校验、停止位,CAN数据的速率、ID号,以太网数据的源目的MAC、源目的IP、源目的端口号等。
[0011]3、多种组合使用方式。当单独使用本装置时,可以实现RS232、RS485和CAN数据转以太网数据,也可以实现RS232、RS485和CAN数据,这三种数据协议之间的互相转换;当成对使用本装备时,可以实现RS232、RS485和CAN数据的长距离传输。
[0012]4、高效的处理能力。由于是FPAG作为协议转换芯片,所以本装置具有高速数据处理能力,并且由于使用FPAG内部的RAM作为数据的缓存,可以实现对三种数据的轮询处理。

【附图说明】

[0013]下面结合附图和实施例对本实用新型进一步说明。
[0014]图1为本实用新型的电路框图。
[0015]图1中,1.CPU控制模块,2.FPGA协议转换模块,2-1.寄存器配置单元,2-2.数据处理及存储单元,2-3.数据流控制单元,2-4.以太网数据协议转换单元,3.RS232电路模块,4.RS485电路模块,5.CAN电路模块,6.以太网PHY模块。

【具体实施方式】
[0016]图1中,一种基于FPGA的RS232、RS485及CAN转以太网装置,包括:CPU控制模块1、FPGA协议转换模块2、RS232电路模块3、RS485电路模块4、CAN电路模块5以及以太网PHY模块6,CPU控制模块I的型号为MPC8308,FPGA协议转换模块2使用Altera公司的EP4CE10E22C8芯片,FPGA协议转换模块2包括寄存器配置单元2_1、数据处理及存储单元2-2、数据流控制单元2-3、以太网数据协议转换单元2-4,寄存器配置单元2-1分别与数据处理及存储单元2-2、数据流控制单元2-3及以太网数据协议转换单元2-4连接,CPU控制模块I与FPGA协议转换模块2的AD总线端口连接,RS232电路模块3使用MAX232芯片,RS232电路模块3与FPGA协议转换模块2的RS232串行端口相连,RS485电路模块4使用MAX485芯片,RS485电路模块4与FPGA协议转换模块2的RS485串行端口相连,CAN电路模块5使用MPC2510作为CAN控制器,用PCA82C250作为CAN收发器,CAN电路模块5与FPGA协议转换模块2的CAN总线端口相连,以太网PHY模块6采用IPlOl芯片,以太网PHY模块6与FPGA协议转换模块2的MII端口相连。该装置的核心部分是FPGA内部的逻辑设计,该部分采用VHDL语言实现,设计了一系列的FPAG内部寄存器用来设置通信协议参数,完成了RS232、RS485及CAN接口的通信协议,以及在以太网数据转换中CRC、CHECKSUM计算,本装置能同时接收处理四种类型的数据,分别为RS232、RS485、CAN及以太网数据。在使用之前(PU控制模块(I)会根据要求通过AD总线写FPGA内部自定义的寄存器,来实现对通信参数的设置,当RS232电路模块3和RS485电路模块4接收到其他设备发送的数据时,会使用内部芯片将数据转换为TTL电平数据,并通过接口送到FPGA内部,FPGA内通过VHDL语言编程实现数据的处理及存储,当CAN电路模块5接收到其他设备发送的数据时,会通过中断信号告知FPGA,FPGA通过SPI接口,读取CAN控制器芯片的相应寄存器,得到数据并存储,当检测到FPGA内存RAM中有数据时,会将数据转换成以太网数据,通过MII接口发送到以太网PHY模块6。当以太网PHY模块6接收到UDP以太网数据时,会通过MII接口发送到FPGA,首先FPGA会根据MAC、IP判断是否为所需要的数据包,不是则丢弃,是则进行拆包,将UDP数据包中的解析并存储,同时根据数据包中端口号来判断将数据转成RS232、RS485或CAN数据协议,如需要转成232协议,FPGA会将数据转换成TTL数据通过232接口发送给RS232电路模块2。
【权利要求】
1.一种基于FPGA的RS232、RS485及CAN转以太网装置,包括:CPU控制模块(1)、FPGA协议转换模块(2)、RS232电路模块(3)、RS485电路模块(4)、CAN电路模块(5)以及以太网PHY模块(6),其中FPGA协议转换模块(2)包括寄存器配置单元(2_1)、数据处理及存储单元(2-2)、数据流控制单元(2-3)、以太网数据协议转换单元(2-4),寄存器配置单元(2-1)分别与数据处理及存储单元(2-2)、数据流控制单元(2-3)及以太网数据协议转换单元(2-4 )连接;其特征是:CPU控制模块(I)与FPGA协议转换模块(2 )的AD总线端口连接,RS232电路模块(3)与FPGA协议转换模块(2)的RS232串行端口相连,RS485电路模块(4)与FPGA协议转换模块(2 )的RS485串行端口相连,CAN电路模块(5 )与FPGA协议转换模块(2)的CAN总线端口相连,以太网PHY模块(6)与FPGA协议转换模块(2)的MII端口相连。2.根据权利要求1所述的一种基于FPGA的RS232、RS485及CAN转以太网装置,其特征在于,FPGA协议转换模块(2)包括寄存器配置单元(2-1)、数据处理及存储单元(2-2)、数据流控制单元(2-3)及以太网数据协议转换单元(2-4);寄存器配置单元(2-1)分别与数据处理及存储单元(2-2)、数据流控制单元(2-3)及以太网数据协议转换单元(2-4)连接;数据处理及存储单元(2-2)上有3个数据端口,分别为232串行端口、485串行端口和CAN总线端口 ;数据处理及存储单元(2-2)的数据输出端和数据流控制单元(2-3)数据输入端口连接,数据流控制单元(2-3)的数据输出端口和以太网数据协议转换单元(2-4)的输入端口连接。3.根据权利I所述的一种基于FPGA的RS232、RS485及CAN转以太网装置,其特征在于:CPU控制模块(I)使用MPC8308芯片。4.根据权利I或2所述的一种基于FPGA的RS232、RS485及CAN转以太网装置,其特征在于:FPGA协议转换模块(2)使用Altera公司的EP4CE10E22C8芯片。5.根据权利I所述的一种基于FPGA的RS232、RS485及CAN转以太网装置,其特征在于:RS232电路模块(3)使用MAX232芯片,将232电平转换后送到FPAG协议装换模块,或者将FPAG给的数据装换成232电平。6.根据权利I所述的一种基于FPGA的RS232、RS485及CAN转以太网装置,其特征在于:RS485电路模块(4)使用MAX485芯片,将总线数据转换后送到FPAG协议装换模块,或者将FPAG给的数据装换成总线数据。7.根据权利I所述的一种基于FPGA的RS232、RS485及CAN转以太网装置,其特征在于:CAN电路模块(5)使用MPC2510做为CAN控制器,用PCA82C250作为CAN收发器。8.根据权利I所述的一种基于FPGA的RS232、RS485及CAN转以太网装置,其特征在于:以太网PHY模块(6) IPlOl芯片,通过MII接口和FPGA连接。
【文档编号】H04L12-40GK204291023SQ201420774144
【发明者】权国栋, 满忠昊 [申请人]中国矿业大学
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1