一种数据显示系统、方法、装置、设备及可读存储介质与流程

文档序号:29799072发布日期:2022-04-23 19:37阅读:116来源:国知局
一种数据显示系统、方法、装置、设备及可读存储介质与流程

1.本技术涉及数据处理技术领域,尤其涉及一种数据显示系统、方法、装置、设备及可读存储介质。


背景技术:

2.随着移动互联网的快速发展,电子墨水屏技术的发展也越来越好,电子墨水屏幕是利用电泳技术实现显示数据,电子墨水屏幕的显示效果十分接近传统纸张,因此也被称为“电子纸”。
3.电子墨水屏幕是通过对屏幕中的tft(thin film transistor,tft,薄膜晶体管)施加不同操作电压,产生不同磁场,从而控制电子墨水屏幕中各个像素点对应的电子墨囊内的带电色素颗粒吸附在胶囊底部或顶部,达到显示不同的颜色的效果,但是通过磁场控制色素颗粒吸附在胶囊底部或顶部的过程是一个物理过程,因此需要一定的等待时间,另外电子墨水屏幕中的电子墨囊从一种颜色到另外一种颜色的过程可能会需要若干中间过程,也需要耗费一定的时间。
4.因此,如何提高电子墨水屏幕的驱动速度以实现更好地显示数据是人们一直关注的问题。


技术实现要素:

5.本技术旨在至少能解决上述的技术缺陷之一,有鉴于此,本技术提供了一种数据显示系统、方法、装置、设备及可读存储介质,用于解决现有技术中电子墨水屏幕显示数据耗时的技术缺陷。
6.一种数据显示系统,包括:
7.可编程逻辑器件fpga,控制器、电子墨水屏幕,所述fpga分别与所述控制器及所述电子墨水屏幕连接,
8.所述控制器用于接收用户发送的显示指令,并将所述显示指令发送给所述fpga;
9.所述fpga用于当所述显示指令包括待显示数据时,计算所述待显示数据的帧率,并基于所述帧率,确定所述待显示数据的刷新模式;基于所述刷新模式,控制所述电子墨水屏幕的操作电压以显示所述待显示数据;
10.或,
11.所述fpga用于当所述显示指令包括待显示数据时,基于当前时刻电子墨水屏幕中显示的当前帧画面数据与所述待显示数据中当前帧画面数据的下一帧画面数据之间的像素数据差异信息,确定所述待显示数据的更新策略,并控制所述电子墨水屏幕的操作电压,以按照所述更新策略来显示所述待显示数据。
12.优选地,所述fpga基于所述帧率,确定所述待显示数据的刷新模式的过程,包括:
13.判断所述待显示数据的帧率与预设第一阈值、预设第二阈值的大小关系,其中,所述预设第一阈值小于预设第二阈值;
14.若所述待显示数据的帧率不大于预设第一阈值,则确定所述待显示数据的刷新模式为全局刷新模式;
15.若所述待显示数据的帧率超过预设第一阈值且不大于预设第二阈值,则确定所述待显示数据的刷新模式为局部刷新模式;
16.若所述待显示数据的帧率超过预设第二阈值,则确定所述待显示数据的刷新模式为快速刷新模式。
17.优选地,所述fpga基于所述刷新模式,控制所述电子墨水屏幕的操作电压以显示所述待显示数据的过程,包括:
18.所述fpga基于所述待显示数据的刷新模式,给用来显示所述待显示数据的电子墨水屏幕的各像素点的电子墨囊施加不同的操作电压,以显示所述待显示数据。
19.优选地,所述fpga基于当前时刻电子墨水屏幕中显示的当前帧画面数据与所述待显示数据中当前帧画面数据的下一帧画面数据之间的像素数据差异信息,确定所述待显示数据的更新策略,并控制所述电子墨水屏幕的操作电压,以按照所述更新策略来显示所述待显示数据的过程,包括:
20.确定当前时刻所述电子墨水屏幕中显示的当前帧画面数据,及所述待显示数据中当前帧画面数据的下一帧画面数据;
21.确定所述当前帧画面数据与所述下一帧画面数据的像素数据的差异率;
22.判断所述差异率是否小于预设第三阈值;
23.若所述差异率大于或等于预设第三阈值,则将所述电子墨水屏幕中显示的当前帧画面数据更新为所述下一帧画面数据,并控制所述电子墨水屏幕的操作电压,以显示所述待显示数据;
24.若所述差异率小于预设第三阈值,则启动计时器,并在计时器计时结束之前停止确定所述差异率的过程,并在所述计时器计时结束后,执行将所述电子墨水屏幕中显示的当前帧画面数据更新为所述下一帧画面数据,并控制所述电子墨水屏幕的操作电压,以显示所述待显示数据的步骤。
25.优选地,若所述显示指令包括待显示数据的显示属性信息但不包括待显示数据,所述fpga还用于基于所述显示指令,生成与所述待显示数据的显示属性信息对应的待显示的目标数据;
26.计算所述目标数据的帧率,并基于所述目标数据的帧率,确定所述目标数据的刷新模式;基于所述目标的刷新模式,控制所述电子墨水屏幕的操作电压以显示所述目标数据;
27.或,
28.基于当前时刻电子墨水屏幕中显示的当前帧画面数据与所述目标数据中当前帧画面数据的下一帧画面数据之间的像素数据差异信息,确定所述目标数据的更新策略,并控制所述电子墨水屏幕的操作电压,以按照所述更新策略来显示所述目标数据。
29.一种数据显示方法,应用于数据显示系统中的fpga,该系统还包括控制器及电子墨水屏幕,所述fpga分别与所述控制器及所述电子墨水屏幕连接,该方法包括:
30.接收所述控制器发送的显示指令;
31.若所述显示指令包括待显示数据,则计算所述待显示数据的帧率,并基于所述帧
率,确定所述待显示数据的刷新模式;基于所述刷新模式,控制用来显示所述待显示数据的电子墨水屏幕的操作电压以显示所述待显示数据;
32.或,
33.若所述显示指令包括待显示数据,则基于当前时刻电子墨水屏幕中显示的当前帧画面数据与所述待显示数据中当前帧画面数据的下一帧画面数据之间的像素数据差异信息,确定所述待显示数据的更新策略,并控制用来显示所述待显示数据的电子墨水屏幕的操作电压以按照所述更新策略来显示所述待显示数据。
34.优选地,所述基于所述帧率,确定所述待显示数据的刷新模式,包括:
35.判断所述待显示数据的帧率与预设第一阈值、预设第二阈值的大小关系,其中,所述预设第一阈值小于预设第二阈值;
36.若所述待显示数据的帧率不大于预设第一阈值,则确定所述待显示数据的刷新模式为全局刷新模式;
37.若所述待显示数据的帧率超过预设第一阈值且不大于预设第二阈值,则确定所述待显示数据的刷新模式为局部刷新模式;
38.若所述待显示数据的帧率超过预设第二阈值,则确定所述待显示数据的刷新模式为快速刷新模式。
39.一种数据显示装置,包括:
40.指令接收单元,用于接收所述控制器发送的显示指令;
41.第一电压控制单元,用于当所述显示指令包括待显示数据时,计算所述待显示数据的帧率,并基于所述帧率,确定所述待显示数据的刷新模式;基于所述刷新模式,控制用来显示所述待显示数据的电子墨水屏幕的操作电压以显示所述待显示数据;
42.第二电压控制单元,用于当所述显示指令包括待显示数据时,基于当前时刻电子墨水屏幕中显示的当前帧画面数据与所述待显示数据中当前帧画面数据的下一帧画面数据之间的像素数据差异信息,确定所述待显示数据的更新策略,并控制用来显示所述待显示数据的电子墨水屏幕的操作电压以按照所述更新策略来显示所述待显示数据。
43.一种数据显示设备,包括:一个或多个处理器,以及存储器;
44.所述存储器中存储有计算机可读指令,所述计算机可读指令被所述一个或多个处理器执行时,实现如前述介绍的数据显示系统及数据显示方法的步骤。
45.一种可读存储介质,所述可读存储介质中存储有计算机可读指令,所述计算机可读指令被一个或多个处理器执行时,使得一个或多个处理器实现如前述介绍的数据显示方法的步骤。
46.从以上技术方案可以看出,本技术可以在所述fpga接收所述控制器发送的显示指令之后,进一步利用所述fpga判断所述显示指令是否包括待显示数据;若所述fpga确定所述显示指令包括待显示数据,则可以利用所述fpga直接计算所述待显示数据的帧率;以便所述fpga可以基于所述待显示数据的帧率,来确定所述待显示数据的刷新模式;在确定所述待显示数据的刷新模式之后,所述fpga可以参考所述待显示数据的刷新模式,来控制用来显示所述待显示数据的电子墨水屏幕的操作电压以显示所述待显示数据。除此之外,本技术中所述fpga还可以基于当前时刻电子墨水屏幕中显示的当前帧画面数据与所述待显示数据中当前帧画面数据的下一帧画面数据之间的像素数据差异信息,确定所述待显示数
据的更新策略,并控制用来显示所述待显示数据的电子墨水屏幕的操作电压以按照所述更新策略来显示所述待显示数据。
47.由于不同刷新模式对应的施加在电子墨水屏幕的操作电压以及电子墨水屏幕调整灰度的路径是不同的,由此,其驱动的速度也会不同。因此,根据所述待显示数据的刷新模式,来控制用来显示所述待显示数据的电子墨水屏幕的各像素点的电子墨囊的操作电压,克服了采用固定模式来驱动电子墨水屏幕的缺陷,可以高效地根据待显示数据的刷新模式来确定对电子墨水屏幕中各像素点的电子墨囊内的操作电压,以缩短电子墨水屏幕的驱动时间,提高电子墨水屏幕的驱动速度。其次,根据当前时刻电子墨水屏幕中显示的当前帧画面数据与所述待显示数据中当前帧画面数据的下一帧画面数据之间的像素数据差异信息来确定所述待显示数据的更新策略,可以根据当前帧画面数据与所述待显示数据中当前帧画面数据的下一帧画面数据之间的不同的差异情况来确定不同的更新策略,可以有效减少了设备的驱动和计算的时间,也提高了电子墨水屏的驱动速度及数据显示的效率。
附图说明
48.为了更清楚地说明本技术实施例或现有技术中的技术方案,下面将对实施例或现有技术描述中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本技术的一些实施例,对于本领域的普通技术人员来讲,在不付出创造性劳动性的前提下,还可以根据这些附图获得其它的附图。
49.图1为本技术实施例提供的一种数据显示系统架构示意图;
50.图2为本技术实施例提供的一种实现数据显示方法的流程图;
51.图3为本技术实施例示例的一种数据显示装置结构示意图;
52.图4为本技术实施例公开的一种数据显示设备的硬件结构框图。
具体实施方式
53.下面将结合本技术实施例中的附图,对本技术实施例中的技术方案进行清楚、完整地描述,显然,所描述的实施例仅仅是本技术一部分实施例,而不是全部的实施例。基于本技术中的实施例,本领域普通技术人员在没有做出创造性劳动前提下所获得的所有其他实施例,都属于本技术保护的范围。
54.鉴于目前大部分的电子墨水屏幕厂商在提供电子墨水屏幕的同时,往往会提供一个waveform文件,waveform文件中定义了电子墨水屏幕中各像素点颜色变化需要的具体中间过程。当用户驱动电子墨水屏幕时候,则需要根据waveform文件、电子墨水屏幕的各像素点当前显示的颜色,以及待显示的目标颜色,从而决定需要经过哪些中间过程,并且在这些中间过程对电子墨水屏的各像素点的电子墨囊施加不同的操作电压。而因为电子墨水屏幕驱动的复杂性,所以一般都是通过专用的电子墨水屏显示控制器(electrophoretic display controller,epdc)来驱动电子墨水屏幕,epdc显示控制器包含了内存和缓存的数据管理模块,以及屏幕的时序控制电路,从而极大的简化了电子墨水屏幕的驱动,节省了电子墨水屏幕设备开发时间。但是epdc显示控制器一般是集成在设备的主板soc上,或者是使用由第三方厂家提供的专用芯片,所以epdc显示控制器的接口和功能都比较固定,而且更新换代频率慢,无法满足电子墨水屏幕应用场景日新月异的新需求。
55.然而,电子墨水屏幕的连接口一般都有多种模式,如mipi dsi模式和lvds模式。而mipi dsi模式中又有命令模式和视频模式两种模式。lvds模式则只支持视频模式。而epdc显示控制器的接口和功能都比较固定,兼容性较差。
56.因此,本案申请人研究了一种数据显示方案,该方案旨在解决电子墨水屏幕驱动耗时以及显示控制器兼容性差的问题。本技术可以采用可编程逻辑器件fpga来实现数据显示方法。相比于采用epdc显示控制器来控制来驱动电子墨水屏幕,本技术使用了可编程逻辑器件fpga来实现对待显示数据的处理,所述fpga可以更好地兼容不同类型的接口的电子墨水屏幕及控制器,有效降低了数据显示的成本。
57.下面结合图1,介绍本技术实施例给出的可以实现数据显示的一种可选系统架构。如图1所示,该系统架构可以包括:可编程逻辑器件fpga10,控制器20、电子墨水屏幕30。
58.其中,所述fpga10分别与所述控制器20及所述电子墨水屏幕30连接,
59.所述控制器20可以用于接收用户发送的显示指令,并将所述显示指令发送给所述fpga10;
60.所述fpga10可以用于当所述显示指令包括待显示数据时,计算所述待显示数据的帧率,并基于所述帧率,确定所述待显示数据的刷新模式;还可以基于所述刷新模式,控制所述电子墨水屏幕30的操作电压以显示所述待显示数据;
61.或,
62.所述fpga10可以用于当所述显示指令包括待显示数据时,基于当前时刻电子墨水屏幕中显示的当前帧画面数据与所述待显示数据中当前帧画面数据的下一帧画面数据之间的像素数据差异信息,确定所述待显示数据的更新策略,并控制所述电子墨水屏幕30的操作电压,以按照所述更新策略来显示所述待显示数据。
63.从上技术方案可以看出,本技术实施例可以利用由可编程逻辑器件fpga10,控制器20、电子墨水屏幕30组成的数据显示系统,根据待显示数据的帧率来确定待显示数据的刷新模式,并基于待显示数据的刷新模式来控制所述电子墨水屏幕30的操作电压,以显示待显示数据。或可以根据当前时刻电子墨水屏幕30中显示的当前帧画面数据与所述待显示数据中当前帧画面数据的下一帧画面数据之间的像素数据差异信息来确定待显示数据的更新策略,不同的更新策略,对应地,施加在所述电子墨水屏幕30的操作电压也会不同,由此,基于当前时刻电子墨水屏幕30中显示的当前帧画面数据与所述待显示数据中当前帧画面数据的下一帧画面数据之间的像素数据差异信息来确定待显示数据的更新策略,可以较好地根据待显示数据的显示需求来控制所述电子墨水屏幕30的操作电压,以显示待显示数据。更好地提高了电子墨水屏幕30的驱动速度及数据显示的效率。
64.由上述介绍可知,所述fpga10可以用于当所述显示指令包括待显示数据时,计算所述待显示数据的帧率,并基于所述帧率,确定所述待显示数据的刷新模式。
65.基于此,接下来,结合图2,介绍所述fpga10基于所述帧率,确定所述待显示数据的刷新模式的过程,该过程可以包括如下几个步骤:
66.步骤s101,判断所述待显示数据的帧率与预设第一阈值、预设第二阈值的大小关系。
67.具体地,由于电子墨水屏幕的自身特性,若采用显示效果最好的全局刷新模式,数据的刷新率会变得较低,但数据的显示效果最好;而当采用为速度更快的局部刷新模式时,
则能大幅提高数据的刷新率,采用局部刷新模式能较好地应对更新频率较高的数据,但在局部刷新模式下,数据的显示效果相对采用全局刷新模式的效果来说稍差。而每一种刷新模式支持的最大帧率都有所不同。因此,所述fpga10在确定所述待显示数据的帧率之后,可以进一步判断所述待显示数据的帧率与预设第一阈值、预设第二阈值的大小关系。其中,所述预设第一阈值小于预设第二阈值。以便确定待显示数据的刷新模式。
68.若所述待显示数据的帧率不大于预设第一阈值,说明所述待显示数据的更新帧率较小,更新速度较慢,对数据的显示画面的质量要求较高,则可以执行步骤s102;若所述待显示数据的帧率超过预设第一阈值且不大于预设第二阈值,则可以执行步骤s103;若所述待显示数据的帧率超过预设第二阈值,则可以执行步骤s104。
69.步骤s102,确定所述待显示数据的刷新模式为全局刷新模式。
70.具体地,若所述待显示数据的帧率不大于预设第一阈值,则说明所述待显示数据每秒钟刷新的帧数较低,所述待显示数据的刷新率较低,则可以确定所述待显示数据的刷新模式为全局刷新模式。当所述待显示数据的帧率低于预设第一阈值,选用全局刷新模式可以更好地匹配所述待显示数据的帧率,提高待显示数据的显示效果。
71.其中,当确定所述待显示数据的刷新模式为全局刷新模式之后,可以将电子墨水屏幕30的各像素点的电子墨囊的操作电压和灰度直接重置回初始状态,然后根据所述待显示数据,控制驱动电子墨水屏幕30的各像素点的电子墨囊的操作电压和灰度,以提高待显示数据的显示效果。
72.步骤s103,确定所述待显示数据的刷新模式为局部刷新模式。
73.具体地,若所述待显示数据的帧率超过预设第一阈值且不大于预设第二阈值,则说明所述待显示数据每秒钟刷新的帧数较高,待显示数据的刷新率较高,则可以确定所述待显示数据的刷新模式为局部刷新模式。当所述待显示数据的帧率超过预设第一阈值且不大于预设第二阈值,选用局部刷新模式可以更好地匹配所述待显示数据的帧率,提高待显示数据的刷新率。
74.其中,当确定所述待显示数据的刷新模式为局部刷新模式之后,则不会对电子墨水屏幕的各像素点的电子墨囊的操作电压和灰度做重置处理,可以直接将电子墨水屏幕30当前的各像素点的电子墨囊的操作电压和灰度按照新的像素数据进行相应的调整,以提高电子墨水屏幕30的刷新速度。
75.步骤s104,确定所述待显示数据的刷新模式为快速刷新模式。
76.具体地,若所述待显示数据的帧率超过预设第二阈值,则说明所述待显示数据的刷新率非常高,选择局部刷新模式已不适应待显示数据的显示要求。则需要采用更快的刷新模式来显示待显示数据。因此,若所述待显示数据的帧率超过预设第二阈值,则可以确定所述待显示数据的刷新模式为快速刷新模式。其中,所述快速刷新模式包括du刷新模式、a2刷新模式。当确定所述待显示数据的刷新模式为快速刷新模式时,可以任意切换为du刷新模式、a2刷新模式的其中一种刷新模式。
77.一般来说,电子墨水屏幕一般具有255级灰度,当确定所述待显示数据的刷新模式为快速刷新模式时,可以将在驱动电子墨水屏幕30当前的各像素点的电子墨水胶囊的操作电压和灰度的同时,对电子墨水屏幕30当前的各像素点的灰度参数进行二值化处理,即将电子墨水屏幕30当前的各像素点的灰度参数设置为只在0/1之间切换,其中,灰度参数为0/
1的显示效果为非黑即白,从而达到更快的显示速度。
78.例如,可以将预设第一阈值设置为10帧每秒,将预设第二阈值设置为25帧每秒。
79.当所述待显示数据的帧率不大于10帧每秒时,可以采用全局刷新模式;
80.当所述待显示数据的帧率大于10帧每秒且不大于25帧每秒时,可以采用局部刷新模式;
81.当所述待显示数据的帧率大于25帧每秒时,可以采用快速刷新模式。
82.从上技术方案可以看出,本技术实施例可以根据所述待显示数据的帧率来确定所述待显示数据的刷新模式,以实现更好地显示数据。可以有效降低待显示数据的显示分层感及闪烁感,还能够提升电子墨水屏幕30显示速度与显示质量。
83.由上述介绍可知,当所述显示指令包括待显示数据时,所述fpga10在确定所述待显示数据的刷新模式之后,可以基于所述待显示数据的刷新模式,控制所述电子墨水屏幕30的操作电压以显示所述待显示数据,接下来介绍该过程,该过程可以包括:
84.所述fpga10基于所述待显示数据的刷新模式,给用来显示所述待显示数据的电子墨水屏幕30的各像素点的电子墨囊施加不同的操作电压,以显示所述待显示数据。
85.具体地,由于不同的刷新模式下,电子墨水屏幕30个像素点的操作电压不同。因此,在确定所述待显示数据的刷新模式之后,所述fpga10可以基于所述待显示数据的刷新模式,给用来显示所述待显示数据的电子墨水屏幕30的各像素点的电子墨囊施加不同的操作电压,以显示所述待显示数据。
86.从上技术方案可以看出,所述fpga10可以基于所述待显示数据的刷新模式,给用来显示所述待显示数据的电子墨水屏幕30的各像素点的电子墨囊施加不同的操作电压,以显示所述待显示数据。可以有效降低待显示数据的显示分层感及闪烁感,还能够提升电子墨水屏幕30显示速度与显示质量。
87.由上述介绍可知,当所述显示指令包括待显示数据时,本技术实施例提供的fpga10还可以基于当前时刻电子墨水屏幕中显示的当前帧画面数据与所述待显示数据中当前帧画面数据的下一帧画面数据之间的像素数据差异信息,确定所述待显示数据的更新策略,并控制所述电子墨水屏幕30的操作电压,以按照所述更新策略来显示所述待显示数据。
88.接下来介绍该过程,该过程可以包括如下几个步骤:
89.步骤s11,所述fpga10确定当前时刻所述电子墨水屏幕中显示的当前帧画面数据,及所述待显示数据中当前帧画面数据的下一帧画面数据。
90.具体地,所述fpga10在接收到所述控制器20发送的待显示数据之后,可以确定当前时刻所述电子墨水屏幕30中显示的当前帧画面数据,及所述待显示数据中当前帧画面数据的下一帧画面数据,以便可以根据当前时刻所述电子墨水屏幕30中显示的当前帧画面数据,及所述待显示数据中当前帧画面数据的下一帧画面数据,来确定所述当前帧画面数据与所述下一帧画面数据的像素数据的差异率。
91.步骤s12,所述fpga10确定所述当前帧画面数据与所述下一帧画面数据的像素数据的差异率。
92.具体地,所述fpga10确定当前时刻所述电子墨水屏幕30中显示的当前帧画面数据,及所述待显示数据中当前帧画面数据的下一帧画面数据之后,可以进一步确定所述当
前帧画面数据与所述下一帧画面数据的像素数据的差异率。以便可以根据所述当前帧画面数据与所述下一帧画面数据的像素数据的差异率来确定所述待显示数据的更新策略。
93.其中,所述当前帧画面数据与所述下一帧画面数据的像素数据的差异率的确定过程,可以先根据电子墨水屏幕的宽高计算得到电子墨水屏幕的总像素数量,在得到电子墨水屏幕的总像素数量之后,可以进一步将待显示数据的当前帧画面数据与所述下一帧画面数据同一个像素点位置的每像素进行比对,当检测到待显示数据的当前帧画面数据与所述下一帧画面数据同一个像素点位置的像素数据存在差异时,可以通过像素比对结果来确定所述当前帧画面数据与所述下一帧画面数据的像素数据的差异率。
94.在实际应用过程中,可以利用所述fpga10的并行计算能力,在同一时间内,一次性完成对电子墨水屏幕30中各个像素点的差异数据进行比对,以最快速度来确定所述当前帧画面数据与所述下一帧画面数据的像素数据的差异率。
95.步骤s13,所述fpga10判断所述差异率是否小于预设第三阈值。
96.具体地,在确定所述当前帧画面数据与所述下一帧画面数据的像素数据的差异率之后,所述fpga10可以进一步判断所述差异率是否小于预设第三阈值。若所述差异率大于或等于预设第三阈值,则可以执行步骤s14;若所述差异率小于预设第三阈值,则可以执行步骤s15。
97.其中,预设第三阈值可以参考电子墨水屏幕30的总像素数量来设置。
98.例如,可以将预设第三阈值设置为3%,即当所述当前帧画面数据与所述下一帧画面数据的像素数据的差异率小于3%时,可以认为电子墨水屏幕30的当前帧画面数据变动较小,无需将所述下一帧画面数据更新到电子墨水屏幕30。
99.步骤s14,所述fpga10将所述电子墨水屏幕30中显示的当前帧画面数据更新为所述下一帧画面数据,并控制所述电子墨水屏幕30的操作电压,以显示所述待显示数据。
100.具体地,若所述差异率大于或等于预设第三阈值,则说明所述电子墨水屏幕30中的当前帧画面数据与所述下一帧画面数据的像素数据的差异较大,数据变动较大,需要对所述电子墨水屏幕30中的当前帧画面数据进行更新,则所述fpga10可以将所述电子墨水屏幕30中显示的当前帧画面数据更新为所述下一帧画面数据,并控制所述电子墨水屏幕30的各像素点位的操作电压,以显示所述待显示数据。
101.步骤s15,所述fpga10启动计时器,并在计时器计时结束之前停止确定所述差异率的过程,并在所述计时器计时结束后,执行将所述电子墨水屏幕30中显示的当前帧画面数据更新为所述下一帧画面数据,并控制所述电子墨水屏幕30的操作电压,以显示所述待显示数据的步骤。
102.具体地,若所述差异率小于预设第三阈值,则说明所述电子墨水屏幕30中的当前帧画面数据与所述下一帧画面数据的像素数据的差异较小,数据变动较小,可以暂停对所述电子墨水屏幕30的当前帧画面数据进行更新。则所述fpga10可以启动计时器,并在计时器计时结束之前,停止确定所述差异率的过程。
103.可以理解的是,当所述fpga10启动计时器之后,在计时器计时结束之前,所述fpga10不再计算所述电子墨水屏幕30的当前帧画面数据与所述下一帧画面数据的像素数据的差异率,并且暂停将电子墨水屏幕30中显示的当前帧画面数据更新为所述下一帧画面数据。有效降低了设备的计算压力,减少了电子墨水屏幕30显示所述待显示数据的驱动时
间。
104.特别地,为了保证待显示数据的显示效果,所述fpga10还可以在所述计时器计时结束后,继续执行将所述电子墨水屏幕30中显示的当前帧画面数据更新为所述下一帧画面数据,并控制所述电子墨水屏幕30的操作电压,以显示所述待显示数据的步骤。
105.从上技术方案可以看出,本技术实施例中所述fpga10可以基于当前时刻电子墨水屏幕30中显示的当前帧画面数据与所述待显示数据中当前帧画面数据的下一帧画面数据之间的像素数据差异信息,确定所述待显示数据的更新策略,并控制所述电子墨水屏幕30各个像素点位的电子墨囊的操作电压,以按照所述更新策略来显示所述待显示数据。可以有效降低电子墨水屏幕30的驱动时间,提高数据显示的处理速度。
106.在实际应用过程中,当所述控制器20接收到的显示指令中包括待显示数据的显示属性信息但不包括待显示数据时,所述fpga10还可以用于基于所述显示指令,生成与所述待显示数据的显示属性信息对应的待显示的目标数据。
107.具体地,当所述显示指令中包括待显示数据的显示属性信息但不包括待显示数据时,所述fpga10可以按照所述显示命令中关于待显示数据的目标显示文本、显示颜色等属性信息,生成与所述待显示数据的显示属性信息对应的待显示的目标数据。
108.例如,在设备运行的过程中,当所述fpga10接收到包括待显示数据的显示属性信息但不包括待显示数据的显示指令时,可以读取所述显示指令中的显示属性信息,还可以进一步切换进入手写模式,同时向电子墨水屏幕30发送手写模式的参数,所述参数可以包括但不仅限于:笔宽、笔触颜色、笔触类型;可以采集用户手写输入指令,将用户的输入路径点坐标,结合前述取得的指定手写参数,构建与用户的手写输入指令对应的手写轨迹路径,并将其转换为待显示数据,存放于寄存器中,以便发送给电子墨水屏幕30以显示所构建的待显示数据。
109.例如,以显示指令为在屏幕上坐标为(0,0)至坐标为(0,50)处绘制一条线宽为3像素,颜色为黑色的线条为例,则基于所述显示指令,生成与所述待显示数据的显示属性信息对应的待显示的目标数据的过程可以包括如下几个步骤:
110.(1)将输入模式切换为手写输入模式;
111.(2)从显示命令中读取所需参数:起点,终点,线宽及颜色以及线条类型;
112.(3)根据电子墨水屏幕30的长宽,按照前述参数构建出单帧显示数据。
113.例如,若电子墨水屏幕30的尺寸为1920x1080,可以设置电子墨水屏幕30的原点位置为起点,竖向前3行,往横向填充50个黑色像素,其余像素点均填充为透明像素。
114.当所述fpga10所接收的显示指令中既包括待显示数据又包括待构建的显示数据的显示属性信息时,可以进一步切换进入手写模式后,可以将手写笔迹显示指令转换为待显示数据a;将显示指令中已包括的待显示数据b,与待显示数据a进行逐像素集合比对,处理待显示数据a和待显示数据b两组显示数据重叠的部分,避免无效运算。
115.其中,由于当前已将输入模式切换为手写输入模式,因此,可以将待显示数据a的优先级设置为高于待显示数据b。
116.例如,当待显示数据a透明度为0时,则可以将待显示数据b中对应像素点的像素数据,替换为待显示数据a中对应像素点的像素数据;当待显示数据a透明度不为0时,则可以将待显示数据a的对应像素点的像素数据与待显示数据b的对应像素点的像素数据做融合
计算。经过比对运算后,可以得到最终待显示在电子墨水屏幕的数据c。
117.从上述技术方案可以看出,本技术可以在当所述控制器20接收到的显示指令中包括待显示数据的显示属性信息但不包括待显示数据时,所述fpga10还可以用于基于所述显示指令,生成与所述待显示数据的显示属性信息对应的待显示的目标数据。以便可以有效根据显示指令生成并处理待显示数据。
118.在所述fpga10生成所述目标数据之后,所述fpga10还可以基于所述目标数据的帧率,及所述目标数据的刷新模式,控制所述电子墨水屏幕30的操作电压以显示所述目标数据。
119.接下来,详细介绍所述fpga10基于所述目标数据的帧率,及所述目标数据的刷新模式,控制所述电子墨水屏幕30的操作电压以显示所述目标数据的过程,该过程可以包括如下几个步骤:
120.步骤s21,所述fpga10计算所述目标数据的帧率。
121.步骤s22,所述fpga10基于所述目标数据的帧率,确定所述目标数据的刷新模式。
122.步骤s23,所述fpga10基于所述目标的刷新模式,控制所述电子墨水屏幕30的操作电压以显示所述目标数据。
123.进一步可选的,在所述fpga10生成所述目标数据之后,所述fpga10还可以基于当前时刻电子墨水屏幕中显示的当前帧画面数据与所述目标数据中当前帧画面数据的下一帧画面数据之间的像素数据差异信息,确定所述目标数据的更新策略,并控制所述电子墨水屏幕30的操作电压,以按照所述更新策略来显示所述目标数据。
124.从上技术方案可以看出,本技术可以在所述显示指令中包括待显示数据的显示属性信息但不包括待显示数据时,可以利用所述fpga10生成与所述待显示数据的显示属性信息对应的待显示的目标数据,并根据所述目标数据的帧率或当前时刻所述电子墨水屏幕30中显示的当前帧画面数据与所述目标数据中当前帧画面数据的下一帧画面数据之间的像素数据差异信息,控制所述电子墨水屏幕30的各像素点的电子墨囊的操作电压,以显示所述目标数据。根据当前时刻电子墨水屏幕中显示的当前帧画面数据与所述待显示数据中当前帧画面数据的下一帧画面数据之间的像素数据差异信息来确定所述待显示数据的更新策略,可以根据当前帧画面数据与所述待显示数据中当前帧画面数据的下一帧画面数据之间的不同的差异情况来确定不同的更新策略,可以有效减少了设备的驱动和计算的时间,也提高了电子墨水屏的驱动速度及数据显示的效率。
125.接下来,从所述fpga10角度,介绍本技术实施例提供的两种可选的数据显示方法的实现方式:
126.第一种,
127.步骤s201,接收所述控制器发送的显示指令。
128.具体地,所述fpga10可以接收控制器20发送的显示指令。
129.步骤s202,判断所述显示指令是否包括待显示数据。
130.具体地,所述fpga10在接收到控制器20发送的显示指令之后,可以进一步判断所述显示指令是否包括待显示数据,若是则执行步骤s203。
131.步骤s203,计算所述待显示数据的帧率。
132.具体地,在确定所述待显示数据包括待显示数据之后,所述fpga10可以计算所述
待显示数据的帧率。确定所述待显示数据的帧率之后,不仅可以根据所述待显示数据的帧率,动态地切换显示在电子墨水屏幕30的数据的刷新模式;亦能在连接上支持动态切换高功耗模式或者低功耗模式的接口;通过检测当前显示场景或根据用户的设置,切换为对应的功耗模式,提高设备的运算能力及数据显示的速度。
133.其中,待显示数据的帧率计算方式为:待显示数据的帧率等于待显示数据的总帧数除以待显示数据的显示时间,帧率的单位为帧每秒。
134.在获取所述待显示数据后,可以根据待显示数据的总帧数除以待显示数据的总显示时间,得到待显示数据的帧率。以便用来确定待显示数据的刷新模式。
135.步骤s204,基于所述帧率,确定所述待显示数据的刷新模式。
136.具体地,每一种刷新模式所支持的最大帧率,一般由电子墨水屏幕的供应商提供。因此,在确定所述待显示数据的帧率之后,可以基于所述待显示数据的帧率来确定所述待显示数据的刷新模式。
137.其中,基于待显示数据的帧率确定所述待显示数据的刷新模式可以参照上述步骤s101-步骤s104的介绍。
138.步骤s205,基于所述刷新模式,控制用来显示所述待显示数据的电子墨水屏幕的操作电压以显示所述待显示数据。
139.具体地,由上述介绍可知,电子墨水屏幕一般是通过对tft施加不同的操作电压,以产生不同磁场,从而控制电子墨囊内的带电色素颗粒吸附在胶囊底部或顶部,达到显示不同的颜色的效果。而每一种刷新模式对应的数据显示效果不同,不同的显示效果,对应施加在用来显示数据的电子墨水屏幕30的各像素点的电子墨囊的操作电压也就不同;对电子墨水屏幕施加不同的操作电压可以产生不同磁场,从而控制电子墨囊内的带电色素颗粒吸附在胶囊底部或顶部,达到显示不同的效果。因此,在确定所述待显示数据的刷新模式后,可以根据所述待显示数据的刷新模式来控制用来显示所述待显示数据的电子墨水屏幕30的操作电压以显示所述待显示数据。
140.第二种,
141.步骤s201,接收显示指令。
142.步骤s202,判断所述显示指令是否包括待显示数据。
143.具体地,若判断所述显示指令包含待显示数据之后,则执行步骤s206。
144.步骤s206,基于当前时刻电子墨水屏幕中显示的当前帧画面数据与所述待显示数据中当前帧画面数据的下一帧画面数据之间的像素数据差异信息,确定所述待显示数据的更新策略。
145.步骤s207,控制用来显示所述待显示数据的电子墨水屏幕的操作电压以按照所述更新策略来显示所述待显示数据。
146.从上技术方案可以看出,由于不同刷新模式对应的电子墨水屏幕的操作电压和调整灰度的路径是不同的,由此,其驱动的速度也会不同。因此,本技术实施例根据所述待显示数据的刷新模式,来控制用来显示所述待显示数据的电子墨水屏幕的各像素点的电子墨囊的操作电压,克服了采用固定模式来驱动电子墨水屏幕的缺陷,可以高效地根据待显示数据的刷新模式来确定对电子墨水屏幕中各像素点的电子墨囊内的操作电压,以缩短电子墨水屏幕的驱动时间,提高电子墨水屏幕的驱动速度。
147.进一步地,由于传统的显示架构,依赖于epdc显示控制器的驱动电子墨水屏幕,只能根据用户的输入或系统根据可能的显示内容预先选择对应的刷新模式。而本技术可以采用fpga集成电路模块来实现数据显示方法。fpga10拥有强大的运算性能,可以在极短的时间内计算出待显示数据的帧率并根据待显示数据的帧率,动态变换对应的刷新模式。相比于采用epdc显示控制器来控制来驱动电子墨水屏幕,采用fpga10可以更好地兼容不同类型的接口的电子墨水屏幕及控制器,能根据不同的输入的数据,结合待显示数据做各种变换处理,并直接将处理后的待显示数据传输至电子墨水屏幕,从而减低设备主板的工作压力,让电子墨水屏幕设备的在提高运算能力的同时,能提供更好的显示效果。
148.其次,本技术还可以根据当前时刻电子墨水屏幕中显示的当前帧画面数据与所述待显示数据中当前帧画面数据的下一帧画面数据之间的像素数据差异信息来确定所述待显示数据的更新策略,可以根据当前帧画面数据与所述待显示数据中当前帧画面数据的下一帧画面数据之间的不同的差异情况来确定不同的更新策略,可以有效减少了设备的驱动和计算的时间,也提高了电子墨水屏的驱动速度及数据显示的效率。
149.由上述介绍可知,本技术实施例可以基于所述待显示数据的帧率,确定所述待显示数据的刷新模式。接下来,介绍该过程,该过程可以包括如下几个步骤:
150.步骤s301,判断所述待显示数据的帧率与预设第一阈值、预设第二阈值的大小关系,其中,所述预设第一阈值小于预设第二阈值。
151.步骤s302,若所述待显示数据的帧率不大于预设第一阈值,则确定所述待显示数据的刷新模式为全局刷新模式。
152.步骤s303,若所述待显示数据的帧率超过预设第一阈值且不大于预设第二阈值,则确定所述待显示数据的刷新模式为局部刷新模式。
153.步骤s304,若所述待显示数据的帧率超过预设第二阈值,则确定所述待显示数据的刷新模式为快速刷新模式。
154.其中,步骤s301-步骤304可参照上述步骤s101-步骤104的介绍,此处不再赘述。
155.从上技术方案可以看出,本技术实施例可以根据所述待显示数据的帧率来确定所述待显示数据的刷新模式,以实现更好地显示数据。可以有效降低待显示数据的显示分层感及闪烁感,还能够提升电子墨水屏幕30的显示速度与显示质量。
156.下面对本技术实施例提供的数据显示装置进行描述,下文描述的数据显示装置与上文描述的数据显示方法可相互对应参照。
157.参见图3,图3为本技术实施例提供的一种数据显示装置结构示意图。
158.如图3所示,该数据显示装置可以包括:
159.指令接收单元101,用于接收所述控制器发送的显示指令;
160.第一电压控制单元102,用于当所述显示指令包括待显示数据时,计算所述待显示数据的帧率,并基于所述帧率,确定所述待显示数据的刷新模式;基于所述刷新模式,控制用来显示所述待显示数据的电子墨水屏幕的操作电压以显示所述待显示数据;
161.第二电压控制单元103,用于当所述显示指令包括待显示数据时,基于当前时刻电子墨水屏幕中显示的当前帧画面数据与所述待显示数据中当前帧画面数据的下一帧画面数据之间的像素数据差异信息,确定所述待显示数据的更新策略,并控制用来显示所述待显示数据的电子墨水屏幕的操作电压以按照所述更新策略来显示所述待显示数据。
162.由上述技术方案可知,本技术实施例的装置可以利用指令接收单元101接收显示指令;当所述显示指令包括待显示数据时,当所述显示指令包括待显示数据时,可以利用第一电压控制单元,计算所述待显示数据的帧率,并基于所述帧率,确定所述待显示数据的刷新模式;基于所述刷新模式,控制用来显示所述待显示数据的电子墨水屏幕的操作电压以显示所述待显示数据。除此之外,还可以利用第二电压控制单元103,基于当前时刻电子墨水屏幕中显示的当前帧画面数据与所述待显示数据中当前帧画面数据的下一帧画面数据之间的像素数据差异信息,确定所述待显示数据的更新策略,并控制用来显示所述待显示数据的电子墨水屏幕的操作电压以按照所述更新策略来显示所述待显示数据。
163.由于不同刷新模式对应的电子墨水屏幕的操作电压及调整灰度的路径是不同的,而电子墨水屏幕的操作电压及调整灰度的路径不同,其驱动的速度也会不同,因此,根据所述待显示数据的刷新模式,来控制用来显示所述待显示数据的电子墨水屏幕的各像素点的电子墨囊的操作电压,克服了采用固定模式来驱动电子墨水屏幕的缺陷,可以高效地根据待显示数据的刷新模式来确定对电子墨水屏幕中各像素点的电子墨囊内的操作电压,以缩短电子墨水屏幕的驱动时间,提高电子墨水屏幕的驱动速度。其次,根据当前时刻电子墨水屏幕中显示的当前帧画面数据与所述待显示数据中当前帧画面数据的下一帧画面数据之间的像素数据差异信息来确定所述待显示数据的更新策略,可以根据当前帧画面数据与所述待显示数据中当前帧画面数据的下一帧画面数据之间的不同的差异情况来确定不同的更新策略,可以有效减少了设备的驱动和计算的时间,也提高了电子墨水屏的驱动速度及数据显示的效率。
164.进一步可选地,所述第一电压控制单元102基于所述帧率,确定所述待显示数据的刷新模式的执行过程,可以包括:
165.判断所述待显示数据的帧率与预设第一阈值、预设第二阈值的大小关系,其中,所述预设第一阈值小于预设第二阈值;
166.若所述待显示数据的帧率不大于预设第一阈值,则确定所述待显示数据的刷新模式为全局刷新模式;
167.若所述待显示数据的帧率超过预设第一阈值且不大于预设第二阈值,则确定所述待显示数据的刷新模式为局部刷新模式;
168.若所述待显示数据的帧率超过预设第二阈值,则确定所述待显示数据的刷新模式为快速刷新模式。
169.进一步可选地,所述第一电压控制单元102基于所述刷新模式,控制所述电子墨水屏幕的操作电压以显示所述待显示数据的执行过程,可以包括:
170.所述fpga10基于所述待显示数据的刷新模式,给用来显示所述待显示数据的电子墨水屏幕的各像素点的电子墨囊施加不同的操作电压,以显示所述待显示数据。
171.进一步可选地,所述第二电压控制单元103基于当前时刻电子墨水屏幕中显示的当前帧画面数据与所述待显示数据中当前帧画面数据的下一帧画面数据之间的像素数据差异信息,确定所述待显示数据的更新策略,并控制所述电子墨水屏幕的操作电压,以按照所述更新策略来显示所述待显示数据的执行过程,可以包括:
172.确定当前时刻所述电子墨水屏幕中显示的当前帧画面数据,及所述待显示数据中当前帧画面数据的下一帧画面数据;
173.确定所述当前帧画面数据与所述下一帧画面数据的像素数据的差异率;
174.判断所述差异率是否小于预设第三阈值;
175.若所述差异率大于或等于预设第三阈值,则将所述电子墨水屏幕中显示的当前帧画面数据更新为所述下一帧画面数据,并控制所述电子墨水屏幕的操作电压,以显示所述待显示数据;
176.若所述差异率小于预设第三阈值,则启动计时器,并在计时器计时结束之前停止确定所述差异率的过程,并在所述计时器计时结束后,执行将所述电子墨水屏幕中显示的当前帧画面数据更新为所述下一帧画面数据,并控制所述电子墨水屏幕的操作电压,以显示所述待显示数据的步骤。
177.进一步可选地,上述数据显示装置,还可以包括:
178.数据生成单元,用于当所述显示指令包括待显示数据的显示属性信息但不包括待显示数据,基于所述显示指令,生成与所述待显示数据的显示属性信息对应的待显示的目标数据;
179.第三电压控制单元,用于计算所述目标数据的帧率,并基于所述目标数据的帧率,确定所述目标数据的刷新模式;基于所述目标的刷新模式,控制所述电子墨水屏幕的操作电压以显示所述目标数据;
180.或,
181.用于基于当前时刻电子墨水屏幕中显示的当前帧画面数据与所述目标数据中当前帧画面数据的下一帧画面数据之间的像素数据差异信息,确定所述目标数据的更新策略,并控制所述电子墨水屏幕的操作电压,以按照所述更新策略来显示所述目标数据。
182.其中,上述数据显示装置所包含的各个单元的具体处理流程,可以参照前文数据显示方法部分相关介绍,此处不再赘述。
183.本技术实施例提供的数据显示装置可应用于电子墨水屏阅读器。可选的,图4示出了一种数据显示设备的硬件结构框图,参照图4,数据显示设备的硬件结构可以包括:至少一个处理器1,至少一个通信接口2,至少一个存储器3和至少一个通信总线4。
184.在本技术实施例中,处理器1、通信接口2、存储器3、通信总线4的数量为至少一个,且处理器1、通信接口2、存储器3通过通信总线4完成相互间的通信。
185.处理器1可能是一个中央处理器cpu,或者是特定集成电路asic(application specific integrated circuit),或者是被配置成实施本技术实施例的一个或多个集成电路等;
186.存储器3可能包含高速ram存储器,也可能还包括非易失性存储器(non-volatile memory)等,例如至少一个磁盘存储器;
187.其中,存储器存储有程序,处理器可调用存储器存储的程序,所述程序用于:实现前述终端数据显示方案中的各个处理流程。
188.本技术实施例还提供一种可读存储介质,该存储介质可存储有适于处理器执行的程序,所述程序用于:实现前述终端在数据显示方案中的各个处理流程。
189.最后,还需要说明的是,在本文中,诸如第一和第二等之类的关系术语仅仅用来将一个实体或者操作与另一个实体或操作区分开来,而不一定要求或者暗示这些实体或操作之间存在任何这种实际的关系或者顺序。而且,术语“包括”、“包含”或者其任何其他变体意
在涵盖非排他性的包含,从而使得包括一系列要素的过程、方法、物品或者设备不仅包括那些要素,而且还包括没有明确列出的其他要素,或者是还包括为这种过程、方法、物品或者设备所固有的要素。在没有更多限制的情况下,由语句“包括一个
……”
限定的要素,并不排除在包括所述要素的过程、方法、物品或者设备中还存在另外的相同要素。
190.本说明书中各个实施例采用递进的方式描述,每个实施例重点说明的都是与其他实施例的不同之处,各个实施例之间相同相似部分互相参见即可。
191.对所公开的实施例的上述说明,使本领域专业技术人员能够实现或使用本技术。对这些实施例的多种修改对本领域的专业技术人员来说将是显而易见的,本文中所定义的一般原理可以在不脱离本技术的精神或范围的情况下,在其它实施例中实现。各个实施例之间可以相互组合。因此,本技术将不会被限制于本文所示的这些实施例,而是要符合与本文所公开的原理和新颖特点相一致的最宽的范围。
当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1