一种指令集可扩展处理器的控制方法

文档序号:6341398阅读:289来源:国知局
专利名称:一种指令集可扩展处理器的控制方法
一种指令集可扩展处理器的控制方法技术领域
本发明属于计算机处理器技术领域,具体涉及一种指令集可扩展处理器的控制方法。
背景技术
处理器的算术逻辑单元是计算机处理器指令的执行单元,是处理器的核心组成部 分。处理器指令通过解码后产生算术逻辑单元的控制信号,控制算术逻辑单元完成相应的 功能。
在现代处理器设计中,算术逻辑单元的控制信号的产生有两种常用的方式其一 为有限状态机方式;其二为微程序方式。而有限状态机由硬件电路实现,设计复杂性高,当 指令集规模达到100条以上时,状态机将变得异常复杂。因此硬件电路有限状态机的方法 不适用与复杂指令集的设计。设计指令集复杂度较高的处理器时,通常采用微程序的方法, 但是在现有采用微程序方法的设计中,微程序通常被存储在ROM中,内容不可改变,通用性 及可扩展性较差。且在现代集成电路的设计中,对面积增加带来的代价已经有越来越高的 容忍度。发明内容
为了克服上述现有技术存在的不足,本发明的目的在于提供一种指令集可扩展处 理器的控制方法,通过在对应的计算机处理器中内嵌入代替算术逻辑单元的可编程逻辑单 元、可编程存储器以及指令配置模块进行控制,便可以实现处理器中指令集可扩展、指令执 行单元可配置以及配置方式可修改的可扩展功能,另外这样的控制方式简便通用,且成本 低。
为了达到上述目的,本发明采用的技术方案是
一种指令集可扩展处理器的控制方法,首先在对应的计算机处理器中内嵌入代替 算术逻辑单元的可编程逻辑单元、可编程存储器以及指令配置模块,其中可编程存储器内 含有该可编程存储器的地址同可编程逻辑单元的控制信号间的映射关系以及可编程逻辑 单元的配置信息,随后启动指令配置模块从可编程存储器内取出可编程逻辑单元的配置信 息,再通过该配置信息对可编程逻辑单元进行配置,使得该可编程逻辑单元成为由可编程 逻辑单元对应的控制信号控制执行的可编程算术逻辑单元或者可编程指令执行单元,接着 计算机处理器的处理器指令译码单元将需要执行的指令进行译码处理形成包含对应可编 程存储器的地址的指令译码结果,根据该指令译码结果内的可编程存储器的地址映射到对 应的可编程逻辑单元的控制信号,随后启动该可编程逻辑单元的控制信号来控制执行对应 的可编程算术逻辑单元或者可编程指令执行单元,该可编程算术逻辑单元或者可编程指令 执行单元就通过输入指令源操作数来进行处理得到输入指令目的操作数。
通过对应的计算机处理器中内嵌入代替算术逻辑单元的可编程逻辑单元、可编程 存储器以及指令配置模块进行控制,在不改变处理器硬件结构的情况下,通过配置可编程存储器中的映射关系,即可由相同的译码结果产生不同的控制信号,从而实现具有不同功 能的指令,实现指令集的可灵活扩展且指令执行单元可配置,另外通过修改可编程存储器 中的配置信息,实现对可编程算术逻辑单元或者可编程指令执行单元的多种配置方法,最 后这样的控制方式还具有简便通用,且成本低的优点。


附图是本发明的工作原理流程图。
具体实施方式
下面结合附图对本发明作更详细的说明。
指令集可扩展处理器的控制方法,首先在对应的计算机处理器中内嵌入代替算术 逻辑单元的可编程逻辑单元、可编程存储器以及指令配置模块,其中可编程存储器内含有 该可编程存储器的地址同可编程逻辑单元的控制信号间的映射关系以及可编程逻辑单元 的配置信息,随后启动指令配置模块从可编程存储器内取出可编程逻辑单元的配置信息, 再通过该配置信息对可编程逻辑单元进行配置,使得该可编程逻辑单元成为由可编程逻辑 单元对应的控制信号控制执行的可编程算术逻辑单元或者可编程指令执行单元,接着计算 机处理器的处理器指令译码单元将需要执行的指令进行译码处理形成包含对应可编程存 储器的地址的指令译码结果,根据该指令译码结果内的可编程存储器的地址映射到对应的 可编程逻辑单元的控制信号,随后启动该可编程逻辑单元的控制信号来控制执行对应的可 编程算术逻辑单元或者可编程指令执行单元,该可编程算术逻辑单元或者可编程指令执行 单元就通过输入指令源操作数来进行处理得到输入指令目的操作数。
通过对应的计算机处理器中内嵌入代替算术逻辑单元的可编程逻辑单元、可编程 存储器以及指令配置模块进行控制,在不改变处理器硬件结构的情况下,通过配置可编程 存储器中的映射关系,即可由相同的译码结果产生不同的控制信号,从而实现具有不同功 能的指令,实现指令集的可灵活扩展且指令执行单元可配置,另外通过修改可编程存储器 中的配置信息,实现对可编程算术逻辑单元或者可编程指令执行单元的多种配置方法,最 后这样的控制方式还具有简便通用,且成本低的优点。
权利要求
1. 一种指令集可扩展处理器的控制方法,其特征在于首先在对应的计算机处理器中 内嵌入代替算术逻辑单元的可编程逻辑单元、可编程存储器以及指令配置模块,其中可编 程存储器内含有该可编程存储器的地址同可编程逻辑单元的控制信号间的映射关系以及 可编程逻辑单元的配置信息,随后启动指令配置模块从可编程存储器内取出可编程逻辑单 元的配置信息,再通过该配置信息对可编程逻辑单元进行配置,使得该可编程逻辑单元成 为由可编程逻辑单元对应的控制信号控制执行的可编程算术逻辑单元或者可编程指令执 行单元,接着计算机处理器的处理器指令译码单元将需要执行的指令进行译码处理形成包 含对应可编程存储器的地址的指令译码结果,根据该指令译码结果内的可编程存储器的地 址映射到对应的可编程逻辑单元的控制信号,随后启动该可编程逻辑单元的控制信号来控 制执行对应的可编程算术逻辑单元或者可编程指令执行单元,该可编程算术逻辑单元或者 可编程指令执行单元就通过输入指令源操作数来进行处理得到输入指令目的操作数。
全文摘要
一种指令集可扩展处理器的控制方法,通过对应的计算机处理器中内嵌入代替算术逻辑单元的可编程逻辑单元、可编程存储器以及指令配置模块进行控制,在不改变处理器硬件结构的情况下,通过配置可编程存储器中的映射关系,即可由相同的译码结果产生不同的控制信号,从而实现具有不同功能的指令,实现指令集的可灵活扩展且指令执行单元可配置,另外通过修改可编程存储器中的配置信息,实现对可编程算术逻辑单元或者可编程指令执行单元的多种配置方法,最后这样的控制方式还具有简便通用,且成本低的优点。
文档编号G06F9/30GK102033736SQ20101062399
公开日2011年4月27日 申请日期2010年12月31日 优先权日2010年12月31日
发明者何虎, 白无 申请人:清华大学
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1