基于单元间关联性的布局改善方法及系统与流程

文档序号:20687461发布日期:2020-05-08 18:56阅读:308来源:国知局
基于单元间关联性的布局改善方法及系统与流程

本发明实施例涉及基于单元间关联性的布局改善方法及系统。



背景技术:

在先进半导体技术中,持续减小的装置大小及日益复杂的电路布置使集成电路(ic)的设计及制作更具挑战性且成本更高。在交付ic的电路设计以进行批量生产之前,必须确认设计满足设计规范及制造准则。为了尽可能早地检测设计错误或缺陷,电路设计者使用已在半导体工业中广泛接受的计算机辅助电路设计工具,以辅助识别潜在缺陷。然而,随着电路复杂性及装置密度不断增加,电路设计及验证中涉及的软件程序现消耗大量时间及资源。因此,必需改进设计流程以减少设计循环时间,同时维持设计质量。

光学光刻操作中的光绕射对减小构件大小提出一个障碍。用来补偿光绕射效应的常用技术包括光学近接校正(opc)。可跨设计布局重复地执行这些方法以便确保设计布局中的所有图案的可接受改善结果。因此,可需要大量软件资源且可导致对设计布局执行光刻改善需要显著成本。因此,设计低效及工艺成本已成为待克服以便实现装置的经济性批量生产的挑战。因此,需要一种不损及性能的更有效光刻改善方法。



技术实现要素:

本发明的一实施例涉及一种方法,其包含:提供包含多个单元的第一设计布局;使用光学近接校正来更新所述多个单元中的第一单元以提供第一经更新单元及数据集;基于所述数据集更新来自所述第一设计布局中的剩余单元中的第二单元以提供第二经更新单元;及基于所述第一设计布局中的所述第一经更新单元及所述第二经更新单元制造掩模。

本发明的一实施例涉及一种方法,其包含:提供包含多个单元的设计布局;对所述多个单元中的第一单元执行光刻改善操作以提供第一经更新单元;基于所述第一单元的所述经更新单元导出数据集;提供包含在光刻改善之前及在光刻改善之后的单元的映射的模型;通过基于所述数据集及所述模型重塑所述第二单元的多边形来更新所述多个单元中的第二单元以提供第二经更新单元;及基于所述第一经更新单元及所述第二经更新单元制造掩模。

本发明的一实施例涉及一种包含一或多个处理器及包括指令的一或多个程序的系统,所述指令在由所述一或多个处理器执行时致使所述系统:提供包含多个单元的第一设计布局;使用光学近接校正来更新所述多个单元中的第一单元以提供第一经更新单元及数据集;基于所述数据集更新所述设计布局中的第二单元以提供第二经更新单元;及基于所述设计布局中的所述第一经更新单元及所述第二经更新单元制造掩模。

附图说明

当结合附图阅读时,从下文详细描述最好地理解本揭露的方面。应注意,根据标准工业实践,各种构件不一定按比例绘制。事实上,为清楚论述起见,可任意地增大或减小各种构件的大小。

图1是展示根据一些实施例的集成电路(ic)制造系统的示意图。

图2是展示根据一些实施例的图1的ic制造系统中的掩模布局制备的示意图。

图3是根据一些实施例的俯视角度的晶片的示意图。

图4是根据一些实施例的用于光刻改善的训练方法的流程图。

图5a到5c是展示根据一些实施例的提取用于图4的训练方法的布局相依参数的实例的示意图。

图5d是展示根据一些实施例的用于图4的训练方法的改善模型的示意图。

图6是根据一些实施例的光刻改善方法的流程图。

图7是根据一些实施例的实施光刻改善方法的系统的示意图。

具体实施方式

下文揭露内容提供用于实施所提供主题的不同特征的不同实施例或实例。下文描述组件及布置的特定实例以简化本揭露。当然,这些仅仅是实例且并非打算为限制性。例如,在下文描述中一第一构件形成于一第二构件上方或上可包括其中第一构件及第二构件经形成为直接接触的实施例,且还可包括其中额外构件可经形成于第一构件与第二构件之间使得第一构件及第二构件可不直接接触的实施例。另外,本揭露可在各项实例中重复元件符号及/或字母。这种重复出于简化及清楚的目的且本身不规定所论述的各项实施例及/或配置之间的关系。

此外,为便于描述,空间相对术语(例如“在…下面”、“在…下方”、“下”、“在…上方”、“上”及类似者)可在本文中用来描述一个元件或构件与另一(其它)元件或构件的关系,如图中所绘示。空间相对术语打算于涵盖除图中所描绘的定向以外的使用或操作中装置的不同定向。设备可以其它方式定向(旋转70度或按其它定向)且据此可同样解释本文中所使用的空间相对描述词。

尽管阐述本揭露的广泛范围的数值范围及参数为近似值,但尽可能精确地报告特定实施例中所阐述的数值。然而,任何数值固有地含有必然由各自测试测量中通常发现的偏差造成的特定误差。再者,如本文中所使用,术语“约”、“实质”或“大体上”通常意指给定值或范围的10%、5%、1%或0.5%。替代地,当普通技术人员考量时,术语“约”、“实质”或“大体上”意指在均值的可接受标准误差内。除在操作/工作实例中以外或除非另有明确指定,否则所有数值范围、量、值及百分比(例如本文中所揭露的材料数量、持续时间、温度、操作条件、量比及类似者)应被理解为在所有情况下由术语“约”、“实质”或“大体上”修饰。据此,除非相反地指示,否则本揭露及随附发明权利要求书中所阐述的数值参数为可根据需要变化的近似值。至少,各数值参数至少应鉴于所报告的有效数字的数目且通过应用普通舍入技术来解释。范围在本文中可被表示为从一个端点到另一个端点或在两个端点之间。除非另有指定,否则本文中所揭露的所有范围包括端点。

贯穿本揭露所使用的术语“布局”、“设计布局”及“掩模布局”指代集成电路(ic)在对应于ic的构件的几何图案方面的表示,例如组成ic的组件的金属层、电介质层或半导体层。在一些实例中,术语“布局”、“设计布局”及“掩模布局”指代包括可转换成几何图案的机器可读代码或文本串的数据文件。额外信息(例如从几何图案提取的ic相关参数)可包括于布局或设计布局中以改善ic的设计及工艺。

贯穿本揭露所使用的术语“单元”指代设计布局中用来实施特定功能性的电路图案的群组。单元为由各种图案组成且可被表示为多边形的联集。在布局设计阶段期间,设计布局最初可由相同或不同单元阵列构成。可在布局设计的不同阶段调整单元中的图案的几何形状以便补偿设计及工艺效应。单元可覆盖对应于待制造裸片的一部分或全部或裸片群组的电路。可形成掩模以实施其上的一或多个单元的图案且将这些单元图案转印到半导体衬底或晶片。

贯穿本揭露所使用的术语“光学近接校正”或“opc”指代在设计布局上处理的通用光刻改善技术。opc取决于与设计布局中的一或多个单元相关联的光学因素而在各单元上执行;因此,相同设计布局中单元的改善结果可为不同的。在一些实例中,术语“光学近接校正”指代其中相同设计布局中的单元的改善为独立于其它单元进行的既有光学近接校正框架。在一些情况中,术语“光学近接校正”指代不利用相同设计布局中的其它单元的原位改善结果的既有光学近接校正方法。

本揭露大体上涉及半导体装置领域,且更特定而言涉及一种使用极紫外(euv)光的光刻改善方法。采用光刻改善来修改设计布局的图案使得经改善设计布局考量光刻操作的工艺因素,例如光学效应。此外,对于euv光刻(euvl),光刻改善的任务更复杂,因为在euvl中光学效应(例如绕射及干涉)对曝光性能的影响比具有更大波长的其它曝光源更明显。因此,改进euvl操作的效率同时保持成本处于可接受电平是至关重要的。

在本揭露中,提出一种应用于包含相同或类似结构化单元的设计布局的简化euvl改善工艺。所提出的光刻改善框架主要由两个阶段组成,即,训练阶段及改善阶段。在训练阶段期间,构建改善模型,包括为提取在改善之前单元图案与改善之后单元图案之间的关联性而训练的模型特定参数。可进一步训练参数以提取布局中的不同单元之间的改善结果的关联性。改善模型可考量设计布局中的单元之间的单元间关联性,例如,设计布局中的两个单元之间的空间关系或图案类似性。在改善阶段期间,设计布局中的参考单元经选择且经历光学近接校正操作而不考量其邻近单元。随后,并入改善模型以帮助确定设计布局中除参考单元以外的剩余单元的改善结果。在给定参考单元的改善结果的输入及目标单元与参考单元之间的校正的情况下,在改善模型下计算目标单元的改善结果。所提出的基于关联性的改善框架展现有前途的性能,其仅略微不同于既有基于非关联性的方法的性能,同时极大地增加光刻改善效率且降低处理成本。尽管所提出框架可帮助促进包含相同单元阵列的设计布局的光刻改善操作,但这种框架还可适用于由具有不同图案的单元形成的设计布局。

图1是展示根据一些实施例的ic制造系统100的示意图。ic制造系统100经配置以透过多个实体(例如设计公司(designhouse)120、掩模制作厂(maskhousing)130及ic制造商(晶片厂或代工厂)150)制造集成电路装置160。ic制造系统100中的实体通过通信信道(例如,有线或无线信道)链接,且透过网络(例如,内部网络或因特网)彼此交互。在一实施例中,设计公司120、掩模制作厂130及ic制造商150属于单个实体,或由独立方操作。

设计公司(或设计团队)120在ic设计阶段中产生设计布局122以便制造ic160。设计布局122包括为执行符合性能及制造规范的特定功能而设计的各种几何图案的描述。几何图案表示所制作ic160中形成各种ic组件(例如有源区、栅极电极、源极及漏极,以及层间互连件的金属线或通路)的电路构件,例如金属层、电介质层或半导体层。在一实施例中,设计公司120操作电路设计程序以产生设计布局122。电路设计程序可包括但不限于逻辑设计、物理设计、布局前模拟、摆置绕线、时序分析、参数提取、设计规则检查及布局后模拟。设计布局122可从描述文本转换成其视觉等效物以展示所描绘图案的物理布局,例如所描绘图案的尺寸、形状及位置。在一实施例中,设计布局122可以oasis、gdsii、dfii或其他适合的文件格式表示。

掩模制作厂130从设计公司120接收设计布局122且根据设计布局122制造一或多个掩模。在一实施例中,掩模制作厂130包括掩模布局制备块132、掩模制作块144及掩模检验块146。掩模布局制备块132修改设计布局122,使得所得设计布局122a可允许掩模绘制器根据需要转印设计布局122。通常,设计布局122a可在其上包括复制单元。当形成掩模时,使用所述掩模来将单元的图案重复地转印到半导体晶片,且在每次拍摄中利用曝光区域(称为曝光场)进行图案转印。另外,切割道区或测试结构可形成于单元之间的空间中。在一些实施例中,掩模布局制备块132经配置以确定待包括于单元中的裸片的位置、单元周围的切割道区的位置及宽度以及待形成于切割道区中的测试结构的位置及类型。下文在与图2相关的描述中更详细地描述掩模布局制备块132的操作。

掩模制作块144经配置以通过基于由掩模布局制备块132提供的设计布局122a制备衬底来形成掩模。在光刻操作中,基于设计布局122a的图案将掩模衬底曝光于辐射,所述光刻操作之后可为蚀刻操作以留下与设计布局相关的图案。在一实施例中,掩模制作块144引入检查程序以确保布局数据符合掩模绘制器及/或掩模制造商的要求,且布局数据可用来根据需要产生掩模(光掩模或比例光掩模)。将所获取单元的多边形转印到半导体衬底(例如晶片)或安置于半导体衬底上的材料层。还可使用电子束(e-beam)或多个电子束的机构来转印多边形图案。此外,可用各种技术制作掩模。在一实施例中,使用二元技术制作掩模,其中二元掩模包括透明衬底(例如,熔融石英)及涂布于掩模的不透明区域中的不透明材料(例如,铬)。在另一实例中,使用相移技术(例如,相移掩模(psm))制作掩模。

在制作掩模之后,掩模检验块146检验所制作掩模以确定在所制作掩模中是否存在任何缺陷,例如全高度及非全高度缺陷。如果检测到任何缺陷,那么可清洁掩模或可修改掩模中的设计布局。

ic制造商150为包括用于制作各种不同ic产品的多个制造设施的ic制作实体。ic制造商150使用由掩模制作厂130制作的掩模来制作其上具有多个ic装置160的半导体晶片152。半导体晶片152可包括含有形成于其上的各种层的硅衬底或其它合适衬底。在一实施例中,ic制造商150包括ic测试块154,ic测试块154经配置以确保ic符合物理制造规范及机械及/或电气性能规范。在一些实施例中,可利用形成于晶片152上的测试结构来产生指示所制作半导体晶片152的质量的测试数据。在晶片152通过由晶片测试块154进行的测试程序之后,晶片152可沿着切割道区切割(或分割)以形成单独ic装置160。切割工艺可通过分割及断裂、通过机械锯切(例如,用切割锯)或通过激光切削来完成。

图2是展示根据一些实施例的图1的ic制造系统100中的掩模布局制备块132的示意图。掩模布局制备块132包括逻辑运算(lop)模块210、光学近接校正(opc)模块230、简化opc(sopc)模块235及光刻工艺检查(lpc)模块240。在图2中,用实线绘示掩模布局的处理流程,且用虚线绘示不同模块之间的信息交换。

lop模块210经配置以处理设计布局122以便根据指定制造规则修改设计布局122。lop模块210接收表示来自各种制造商的制造约束的规则集以检查设计布局122。如果设计布局122不符合规则集,那么将据此由lop模块210修改设计布局122直到经修改设计布局122符合规则。

在一些实施例中,将设计布局122中的单元的一或多者(表示为图2中所展示的单元#1)发送到opc模块。opc模块230经配置以应用光刻改善技术来补偿成像误差,例如由光刻工艺引起的绕射、干涉或其它效应。在一些实施例中,opc模块230考量由光学元件的缺陷造成的光刻操作的眩光效应或狭缝效应。眩光效应通常称为来自光学元件内的非所要反射或散射的杂散光到达掩模。狭缝效应与弧形曝光狭缝相关,其中穿过弧形曝光狭缝的入射光的方位角可从弧形曝光狭缝的中心到端部变化。方位角的变动可引起穿过狭缝的光的强度、相位、偏光的不均匀性,从而导致光刻操作的性能降级。在一实施例中,改善特征或图案(例如散射条、衬线及/或锤头)根据所建立光学模型或规则添加到设计布局122或从设计布局122去除。例如,opc模块230经配置以执行包括以下的操作:对原始图案重新定大小;重新定位原始图案的边缘;重塑原始图案;将辅助特征附接到原始图案;将散射条添加到原始图案;或其组合。原始图案或多边形的轮廓可划分成多个区段或边缘且透过所述区段的各者进行改善任务。

将设计布局122中未发送到opc模块230的单元的一或多者(表示为图2中所展示的单元#2)发送到简化opc(sopc)模块235。在一些实施例中,假定发送到sopc模块235的单元(单元#2)中的电路拓扑相同或类似于发送到opc模块230的单元(单元#1)中的电路拓扑。由于单元#1与单元#2之间的电路拓扑的差异最小化,故单元#1的改善结果可被利用且以更简单方式凭借微小变化应用于单元#2的改善。在后续段落中更详细地提供sopc模块235的操作。

贯穿本揭露,已透过opc或简化opc操作改善的设计布局122(例如,分别已由opc模块230或sopc模块235重塑的设计布局122中的图案或其组合)称为“opced”设计布局122a。在一实施例中,掩模布局制备块132可应用进一步分辨率改善技术来改进设计布局122,例如偏轴照明或其它合适技术。

lpc模块240经配置以模拟待由ic制造商150实施的制造程序。模拟可覆盖opced设计布局122的全部或一部分。在本实施例中,lpc模块240模拟经历lop模块210的程序以及opc模块230及sopc模块235中的一者或两者的改善操作的设计布局122。在一些实施例中,lpc模块240经配置以检验opced设计布局122a以确定是否存在任何问题区域(称为“热点”)。术语“热点”指代ic装置160中展现负面地影响装置性能的特性的区带或特征。热点可由电路设计及/或工艺控制引起。热点的症状包括挤压/颈缩、桥接、凹陷、腐蚀、rc延迟、线厚度变动、cu残留物及其它问题。

掩模布局制备块132进一步产生改善模型250。改善模型250可包括具有将opc操作之前的设计布局122与opc模块230及sopc模块235的框架下的opced设计布局122a共同关联的相关联参数的各种模型类型。在一实施例中,opc模块230辅助在具有单元的多个复本的设计布局上执行基于关联性的光刻改善,其中设计布局中的第一单元经历opc模块230的独立opc操作。第一单元的多边形边缘划分成区段且原始划分区段对应于独立opc操作期间新添加或重塑的区段。进一步处理第一单元的改善结果(例如,原始区段与新添加或重塑区段之间的比较)以导出包括区段变化的规则或数值的改善数据集。在一些实施例中,由opc模块230提供(或在完成检查工艺之后由spc模块240提供)的经导出改善数据集可并入到改善模型250中且辅助sopc模块235改善设计布局中的剩余单元。

在一实施例中,改善模型250中的参数可在所制作晶片152的显影后检验(adi)轮廓图像中使用先前收集的多边形边缘区段来训练,或其透过设计布局(例如,根据与其它布局数据件相关联的opc模块230的历史改善结果)来训练。

图3是根据一些实施例的俯视角度的晶片300的示意图。晶片300划分成曝光场301的阵列。还展示掩模302,其包括由图1中的设计公司120定义及由掩模制作厂130制作的图案,且经配置以透过曝光场301的各者将图案转印到晶片300。如图3中所展示,掩模302由具有相同布局内容的四边形单元(例如,示范性单元303及304)的阵列组成。切割道区312经形成为分离单元的网格。理想地,晶片300的各曝光场301将接收掩模302的相同图案,而不管掩模302中的单元的位置。这假定大体上适用于使用具有大于euv的波长的非euv光源(例如,深紫外(duv)光源)的常规光刻改善技术。因此,可对特定单元(例如,掩模中的中心单元303)执行改善操作,且可将针对单元303导出的改善结果应用于其它单元以节省时间及精力。这种简化框架是可接受的,因为各种单元(例如单元303及单元304)的改善结果之间的差异是在可容忍范围内。由统一改善结果引起的与最优选改善的微小偏差将不会致使明显性能降级。然而,在其中广泛使用euvl的先进技术节点中,统一光刻改善结果可能在不同单元中产生更大图案差异,这可能不利地影响跨半导体晶片300的性能均匀性。光刻改善结果之间的明显差异可能至少归因于由euvl使用的反射光刻类型。因此,不再期望将针对特定单元(例如单元303)获取的单个光刻改善结果应用于相同设计布局中的其它单元(例如单元304)。因此,用于进行光刻改善的处理时间及成本急剧地增加。这些时间及成本将随着掩模302中的单元阵列的尺寸而增长。

图4是根据一些实施例的光刻改善的训练方法400的流程图。应理解,可在由图4所展示的步骤之前、期间及之后提供额外步骤,且可针对训练方法400的额外实施例替换或消除下文所描述的一些步骤。步骤的顺序可为可互换的。

在步骤402,提供半导体电路的多个设计布局或照片图像,其中设计布局或照片图像的各者包含多个单元。在一实施例中,多个设计布局从设计公司120获得且已通过检查使得多个设计布局满足制造要求。可从数据库430检索设计布局或照片图像。在一实例中,数据库430存储由掩模制作厂130提供、已经历由掩模制作厂130完成的opc操作及lpc操作的opced设计布局122a的设计布局图案。在另一实施例中,步骤402中使用的照片图像包括从所制作ic产品获得的历史轮廓图像。例如,数据库430基于由晶片制造商150提供的各种所制造晶片的扫描电子显微镜(sem)图像存储图案的adi轮廓图像。所确认opced设计布局122a中的图案或晶片中的图案的semadi轮廓图像用作用于产生图2中的改善模型250且基于类似图案提供经改进改善结果的方向的训练材料。

在步骤404,提取用于单元的各种布局相依参数。布局相依参数待存储于数据库420中。这些布局相依参数可在存取设计布局的不同单元中的相同区段的关联性中起重要作用。布局相依参数可包括不同类型。图5a到5c展示根据一些实施例的在图4的训练方法400中提取布局相依参数的示意图。图5a展示示范性设计布局500a,其可为图3中的掩模302的单元(例如,单元303)的一部分且可在其上形成有若干图案,例如多边形502。替代地,可从步骤402中的设计布局或照片图像检索设计布局500a。在一实施例中,多边形502的边缘轮廓划分成若干区段,包括作为目标区段的边缘区段512。边缘区段512的第一布局相依参数可包括边缘区段512的曝光强度值。曝光区带510经定义为环绕边缘区段512的区域。在一实施例中,曝光区带510为具有小于约5μm的长度或宽度(例如,约1μm与约2μm之间的长度)的四边形形状。可根据从曝光源接收于曝光区带510内的辐射强度的总量或平均量计算曝光强度值。在一实施例中,在单元内提取第一类型的布局相依参数。曝光强度值可基于例如由第三方供应商提供的光传播模型获取,且取决于例如源强度、源波长、投射角度及曝光中使用的镜子/透镜的数值孔径的因素。曝光强度值可针对掩模302中的不同区段及单元而变化,这是归因于单元中的区段的不同位置的不同光透射路线及角度以及掩模中的单元的相对位置,且因此各边缘区段中的曝光强度至少取决于设计布局500a的拓扑。

图5b绘示从设计布局500a提取的第二类型的布局相依参数。在一实施例中,多边形502中的边缘区段512经设定为目标图案,且记录其邻近图案(例如多边形504、506及508)的信息。边缘区段512周围的布局拓扑(例如图案的近接度及密度)将影响边缘区段512的最终曝光性能。在一实施例中,在单元内基础上提取第二类型的布局相依参数。相对于所关注区段的空间关系的这种参数特征可辅助识别其它单元中的相同或类似区段,且由此促进单元间关联性的运算。在一实施例中,邻域区带511经定义为环绕边缘区段512、用于确定第二类型的布局相依参数的区域。在一实施例中,第二布局相依参数可包括邻域区带511中面向目标区段(例如图案的侧边缘(例如,区段514及多边形508)或端边缘(例如,区段516))的图案的图案类型。在一实施例中,计算目标区段512与其邻近区段(例如,区段514、516及多边形508)之间的距离。在一实施例中,由基于目标区段512的邻域区带511的密度系数表示第二类型的布局相依参数。例如,密度系数可为跨邻域区带511中的所有邻近图案的平均距离。因此,可基于抽象密度系数或类似者计算及比较区段相对于其跨不同单元的周围环境的类似性电平或相同区段的单元间关联性。前述距离或图案类型可与设计布局500a的拓扑密切相关,且因此第二类型参数是布局相依的。

在一实施例中,所提出方案接收设计布局500a,其中opc已完成且对设计布局500a执行参数提取。例如,将锤头状特征添加到多边形502及506的端部分。在一些其它实施例中,所提出方案还采用未经opc改善的设计布局。尽管邻近图案的条件可归因于移位边缘区段而略有不同,但仍可从中导出布局相依周围图案的本质特征。

图5c绘示从掩模302的布局数据提取第三类型的布局相依参数。在一实施例中,获取不同单元之间的相对距离。例如,第三类型的布局相依参数可包括单元303与单元304之间沿着x轴的距离(展示为距离h1)或沿着y轴的距离(展示为距离h2)。可相对于单元中的类似参考点(例如单元中的几何中心、顶点或其它参考位置)计算距离h1及h2。在一实施例中,获取在单元303与304之间从其几何中心测量的线性距离h3。单元间距离可表示两个比较单元之间的特定图案的平均移位距离,且反映这两个单元之间的光刻改善结果的平均间隙。因此,单元间距离与单元布置相关且是布局相依的。

至少包括参考图5a到5c所描述的数据的类型的布局相依参数经导出且经编译为存储于数据库420中的数据集,如图4中所展示。图5a到5c中所绘示的布局相依参数仅为阐释性的,且其它类型的布局相依参数也在本揭露的预期范围内。

再次参考图4,在步骤406,基于数据库420中的经导出布局相依参数执行模型训练操作。可离线执行训练。针对模型训练操作提供或产生包含各种类型的训练模型的模型数据库440。在一实施例中,在模型训练操作406中,从模型数据库440选择模型类型以开始机器学习程序。选定模型类型可为人工神经网络或其它人工智能学习模型。图5d是展示根据一些实施例的用于图4的训练方法400的示范性训练模型520的示意图。训练模型520具有由透过具有各自权重的连接件522互连的神经元(节点)521的群组构成的人工神经网络的模型类型。节点群组可形成各种层,例如由输入节点521组成的输入层524、由输出节点523组成的输出层526及由隐藏节点525组成的一或多个隐藏层528。还可确定训练模型520的参数,例如输入层524、输出层526及隐藏层528的各者中的节点的数目,及连接件522的互连拓扑。在一实施例中,输出层528可包括多个输出节点523。在本实施例中,将基于数据库420及/或430的大量光刻改善数据馈送到机器学习程序。将设计布局的单元图案中的多边形划分成边缘区段。将改善之前的单元中的多边形的边缘区段及改善之后其对应边缘区段分别提供到输入节点521及输出节点523。在一些实施例中,还将如参考图5a到5c所论述般导出的布局相依参数提供到输入层524以用作用于改善训练的辅助信息。执行隐藏节点层528的反复训练工艺,直到隐藏节点525的值达到收敛值。在一实施例中,使用光刻改善技术之前的边缘区段及布局相依参数作为输入层524,且使用光刻改善技术之后的区段作为输出层526来获得隐藏层528的收敛值。隐藏节点525的值被认为在成本函数方面实现收敛,其中隐藏节点525的这些收敛值对应于最小成本值。

在步骤408,产生且输出布局相依改善模型450。改善模型450可等效于图2的掩模布局制备块132中的改善模型250。参数(例如模型类型、模型配置及训练模型520的隐藏节点525的收敛值)包括于改善模型450中。改善模型450的参数还可含有例如训练模型520的层数目及各层中的节点的数目。训练模型520为待包括于改善模型450中的实例性模型,且其它类型的模型可替代地或另外添加到改善模型450。

图6是根据一些实施例的光刻改善方法600的流程图。方法600可由图2中的opc模块230及sopc模块235执行。应理解,可在由图6所展示的步骤之前、期间及之后提供额外步骤,且可针对光刻改善方法600的额外实施例替换或消除下文所描述的一些步骤。步骤的顺序可为可互换的。

在步骤602,提供包含多个单元的设计布局。设计布局由设计公司120或掩模制作厂130的lop模块210提供,且设计布局未经历掩模制作厂130的任何光刻改善处理。在步骤604,选择多个单元中的第一单元作为参考单元。在一实施例中,第一单元为设计布局中的中心单元(例如,图3的掩模302中的单元303),其中掩模302的几何中心与选定第一单元重合。在其中掩模302的几何中心与掩模中的所有单元间隔开的一实施例中,选择第一单元作为与设计布局的几何中心具有最小距离的单元。

在步骤606,使用独立光刻改善操作来改善或更新第一单元。在一实施例中,独立光刻改善操作由图2的opc模块230执行。在一实施例中,改善操作开始于将第一单元的多边形的边缘轮廓划分成边缘区段。导出多边形中的各边缘区段的至少一个变动(例如,移动),且仅基于第一单元的信息将经重塑或添加特征添加到原始多边形。例如,在步骤606中的光刻改善操作期间提供光传播及曝光模型、第一单元内的图案的图案拓扑或曝光强度。在一实施例中,步骤606依赖基于模型的改善来模拟最终轮廓变形且导出位移值以补偿所述变形。在前述基于模型的改善中,构建用于单元的光场以计算从各方向到达所关注单元的光的复合效应。所述基于模型的改善可能消耗大量运算时间及资源。同时,未通过任何光刻改善操作(例如,由opc模块230)改善或更新设计布局中的剩余单元。在一实施例中,在第一单元的改善期间未提供设计布局的剩余单元的拓扑信息。换句话说,参考单元经opc改善,而不辨识设计布局中的周围单元的存在。在一实施例中,在步骤606的第一单元的更新操作依赖euv的光透射及曝光模型。透过步骤606中的光刻改善操作,由各自经更新(或经重塑)边缘区段构成第一单元中的各经更新多边形。据此产生对应于第一单元的经更新第一单元。

在步骤608,基于经更新第一单元导出改善数据集。改善数据集可包括第一单元中的多边形的边缘区段的几何变化或移动。改善数据集透过基于模型的opc方法获得,且因此应含有所要opc改善结果而不进行简化。在一实施例中,改善数据集包括经更新边缘区段的变化方向或位移值。改善数据集还可包括经添加特征的类型或数目。在替代实施例中,改善数据集包括对应于变化边缘区段的边缘区段的布局或曝光条件的列表。例如,改善数据集包括边缘区段的曝光强度值到原始边缘区段的形状(或位置)及/或经改善第一单元中的经改善边缘区段的形状(或位置)的映射。在一实施例中,改善数据集包括边缘区段周围的拓扑信息到原始边缘区段的形状(或位置)及/或经改善第一单元中的经改善边缘区段的形状(或位置)的映射。边缘区段周围的拓扑信息可包括环绕经改善边缘区段的边缘区段的形状(例如,线、矩形或正方形)、图案类型(侧区段或端区段)、距离及其它几何信息。在另一实例中,改善数据集包括边缘区段周围的曝光强度值或拓扑信息到彼边缘区段的变化尺寸的映射。在一实施例中,改善数据集仅包括第一单元的以类似于图5a到5c中提取的参数的方式提取的布局相依参数。

在步骤610,基于改善数据集及改善模型450更新设计布局中的剩余单元的各者。在一实施例中,步骤610的操作由图2的sopc模块235执行。在一些实施例中,剩余单元的各者的布局相同于参考单元的布局。以类似于在步骤606执行的操作的方式,剩余单元的各者的改善操作开始于将单元的多边形划分成区段。依赖改善模型450来执行单元的原位改善,例如利用训练模型的结构以及经良好训练的内部结构。以训练模型520为例,提供隐藏节点525的经良好训练的值以及选定模型类型(例如,人工神经网络),且将从单元的多边形划分的至少一个边缘区段提供到训练模型520的输入层524。还可将基于第一单元导出的新获得的改善数据集作为输入馈送到训练模型520中。输出层526基于收敛隐藏层528的计算网络提供对应于输入区段的改善结果。在一实施例中,训练模型520的输出层526提供经改善区段的方向及变化尺寸。在一实施例中,改善操作经配置以通过用收敛值配置隐藏层528而与第一单元中的相同边缘区段同时将剩余单元中的非opc改善边缘区段(例如,其位置、形状、周围图案、单元间距离、区段索引等)作为输入指配到输入层且在输出层526处获得经改善边缘区段。在一些实施例中,设计布局的各单元中的各边缘区段被赋予各自区段索引,且因此剩余单元的一者中的边缘区段可透过相同区段索引对应于第一单元中的相同边缘区段。由于训练模型520已用性能验证数据离线调谐,因此其结构连同经良好训练的隐藏节点528提供无opc改善的边缘区段与具有最小成本值的其opc改善对应物之间的良好映射。据此,训练模型520可用来导出具有所述最小成本值的剩余单元的任何新输入边缘区段的经更新边缘区段。这种效应尤其适用于具有与第一单元中的区段索引相同的区段索引的剩余单元的经改善边缘区段。在一实施例中,在不考量euvl的光透射及曝光模型的情况下执行步骤610的更新操作,因此节省用于执行基于模型的改善的运算工作及成本。透过步骤610中的光刻改善操作,由各自经更新(例如,经平移、经移动或经重塑)边缘区段构成各自单元的各经更新多边形。据此产生各自单元的经更新单元。

应注意,针对设计布局中的剩余单元的各者的步骤610的更新操作与在步骤606仅针对第一单元执行的更新操作不同之处在于:基于第一单元的改善结果改善剩余单元。在一实施例中,基于第一单元的改善数据集更新设计布局中的剩余单元的各者。已发现,通过经良好训练的改善模型,不同单元之间的大多数改善结果差异是边际的且可预测的。假定剩余单元包含与opc改善之前的第一单元相同的布局配置且最终改善结果是在中等范围内,那么至少可由基于第一单元的改善数据集及改善模型450合理地预测剩余单元的改善趋势。因此,产生对应于剩余单元的一组经更新单元。在步骤612,输出包含多个经更新单元的经更新设计布局。在一实施例中,可通过使用更好地拟合各种应用的布局特性的不同输入数据来细化用于改善模型450的模型配置及参数。经更新设计布局经输出到图2中的lpc模块240且可经历额外检查操作以供ic制造商150使用。

图7是根据一些实施例的用于实施光刻改善方法的系统的示意图。系统700包括处理器701、网络接口703、输入及输出(i/o)装置705、存储器707、存储器709及总线708。总线708将网络接口703、i/o装置705、存储器707、存储器709及处理器701彼此耦合。

处理器701经配置以执行程序指令,所述程序指令包括经配置以执行如参考本揭露的图所描述及绘示的方法的工具。因此,所述工具经配置以执行步骤,例如提供设计规范、产生设计布局数据、执行opc操作、执行lpc操作、提取布局相依参数、执行改善模型训练及使用改善模型改善单元。

网络接口703经配置以存取程序指令及透过网络(未展示)远程地存储、由程序指令存取的数据。

i/o装置705包括经配置以使用户能够与系统700交互的输入装置及输出装置。在一些实施例中,输入装置包含例如键盘、鼠标及其它装置。此外,输出装置包含例如显示器、打印机及其它装置。

存储装置707经配置以存储程序指令及由程序指令存取的数据。在一些实施例中,存储装置707包含非暂时性计算机可读存储媒体,例如磁盘及光盘。

存储器709经配置以存储待由处理器701执行的程序指令及由程序指令存取的数据。在一些实施例中,存储器709包含随机存取存储器(ram)、某一其它易失性存储装置、只读存储器(rom)及某一其它非易失性存储装置的任何组合。

根据一实施例,一种方法包括:提供包含多个单元的第一设计布局;使用光学近接校正来更新所述多个单元中的第一单元以提供第一经更新单元及数据集;基于所述数据集更新来自所述第一设计布局中的剩余单元的第二单元以提供第二经更新单元;及基于所述第一设计布局中的所述第一经更新单元及所述第二经更新单元制造掩模。

根据一实施例,一种方法包括:提供包含多个单元的设计布局;对所述多个单元中的第一单元执行光刻改善操作以提供第一经更新单元;基于所述第一单元的所述经更新单元导出数据集;提供包含在光刻改善之前及在光刻改善之后的单元的映射的模型;通过基于所述数据集及所述模型重塑所述第二单元的多边形来更新所述多个单元中的第二单元以提供第二经更新单元;及基于所述第一经更新单元及所述第二经更新单元制造掩模。

根据一实施例,一种系统包括一或多个处理器及具有指令的一或多个程序,所述指令在由所述一或多个处理器执行时致使所述系统:提供包含多个单元的第一设计布局;使用光学近接校正来更新所述多个单元中的第一单元以提供第一经更新单元及数据集;基于所述数据集更新所述设计布局中的第二单元以提供第二经更新单元;及基于所述设计布局中的所述第一经更新单元及所述第二经更新单元制造掩模。

前述内容概述若干实施例的特征,使得本领域技术人员可更好地理解本揭露的方面。本领域技术人员应明白,其可容易使用本揭露作为设计或修改用于实行本文中所介绍的实施例的相同目的及/或实现相同优点的其它工艺及结构的基础。本领域技术人员还应认知,这些等效构造不背离本揭露的精神及范围,且其可在不背离本揭露的精神及范围的情况下在本文中作出各种改变、置换及更改。

符号说明

100集成电路(ic)制造系统

120设计公司

122设计布局

122aopced设计布局

130掩模制作厂

132掩模布局制备块

144掩模制作块

146掩模检验块

150ic制造商(晶片厂或代工厂)

152半导体晶片

154ic测试块

160集成电路装置

210逻辑运算(lop)模块

230光学近接校正(opc)模块

235简化opc(sopc)模块

240光刻工艺检查(lpc)模块

250改善模型

300晶片

301曝光场

302掩模

303单元

304单元

312切割道区

400训练方法

402步骤

404步骤

406步骤

408步骤

420数据库

430数据库

440模型数据库

450布局相关改善模型

500a设计布局

502多边形

504多边形

506多边形

508多边形

510曝光区带

511邻域区带

512边缘区段

514区段

516区段

520训练模型

521神经元/输入节点

522连接件

523输出节点

524输入层

525隐藏节点

526输出层

528隐藏层

600光刻改善方法

602步骤

604步骤

606步骤

608步骤

610步骤

612步骤

700系统

701处理器

703网络接口

705输入及输出(i/o)装置

707存储器/存储装置

709存储器

708总线

h1距离

h2距离

h3线性距离

当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1