目标接口的构建方法及其装置、电子设备及存储介质与流程

文档序号:37224808发布日期:2024-03-05 15:26阅读:14来源:国知局
目标接口的构建方法及其装置、电子设备及存储介质与流程

本发明涉及软件工程领域,具体而言,涉及一种目标接口的构建方法及其装置、电子设备及存储介质。


背景技术:

1、在项目(例如软件、系统等)开发过程中,接口是不同模块之间进行通信和交互的方式,使得不同部分可以解耦、独立开发,从而提高了开发效率和系统的可维护性,接口的创建通常由后端开发人员负责,他们需要负责设计和实现接口,以满足前端开发人员和其他系统的需求,接口文档为前后端提供统一的接口开发标准,前后端通过接口文档获取接口的相关信息,并共同遵守接口文档的内容,共同完成接口的开发和构建。

2、相关技术中,在构建目标接口时,往往通过开发人员手动将接口信息维护到在线文档上,得到接口文档,然后发送给测试人员进行接口测试,测试通过则可构建并发布目标接口,手动编写的接口文档的方式效率较低,且接口文档的管理和接口测试脱节,导致接口构建效率较低。

3、技术问题:相关技术中,在编写好接口代码后,通过人工的方式编写接口文档进而为前后端提供统一的接口开发标准,手动编写的方式效率较低,且难以对接口文档进行有效管理。

4、针对上述的问题,目前尚未提出有效的解决方案。


技术实现思路

1、本发明实施例提供了一种目标接口的构建方法及其装置、电子设备及存储介质,以至少解决相关技术中,手动编写接口文档以及接口文档的管理和接口测试脱节导致接口研发效率较低的技术问题。

2、根据本发明实施例的一个方面,提供了一种目标接口的构建方法,包括:接收开发终端发送的接口代码,并基于所述接口代码确定目标接口的接口类型;基于所述接口类型调用接口文档插件,其中,所述接口文档插件是预先配置的用于基于所述接口代码生成接口文档的插件;通过所述接口文档插件扫描所述接口代码,提取所述接口代码中的具有注解信息的代码信息,生成接口文档;基于所述接口文档在接口管理服务器中对所述目标接口进行注册,并生成虚拟接口,基于所述虚拟接口进行虚拟测试,得到虚拟测试结果;在所述虚拟测试结果指示所述目标接口对应的虚拟接口通过虚拟测试的情况下,依据所述接口文档构建所述目标接口。

3、进一步地,在接收开发终端发送的接口代码之后,还包括:基于接口创建需求定义所述目标接口的注解信息,其中,所述注解信息至少包括:所述目标接口的接口名称、所述目标接口的接口标识、所述目标接口的接口参数类型;为所述接口代码添加所述注解信息,得到包含所述注解信息的所述接口代码。

4、进一步地,通过所述接口文档插件扫描所述接口代码,提取所述接口代码中的具有注解信息的代码信息,生成接口文档的步骤包括:由所述接口文档插件基于java反射技术扫描所述接口代码,并定位所述接口代码中的注解信息;提取具有注解信息的接口代码和注解信息,得到接口参数集合;基于所述接口参数集合生成所述接口文档。

5、进一步地,基于所述接口文档在接口管理服务器中对所述目标接口进行注册的步骤包括:登录所述接口管理服务器,并基于所述接口文档对所述目标接口进行注册;由所述接口管理服务器对待注册的所述目标接口进行识别,得到识别结果,在所述识别结果指示所述目标接口已注册的情况下,基于所述接口文档对所述目标接口的接口信息进行更新;在所述识别结果指示所述目标接口未注册的情况下,在所述接口管理服务器新增所述目标接口的接口信息。

6、进一步地,生成虚拟接口,基于所述虚拟接口进行虚拟测试,得到虚拟测试结果的步骤包括:登录所述接口管理服务器,基于接口文档生成虚拟接口信息集合;基于所述虚拟接口信息集合在所述接口管理服务器中生成所述虚拟接口;基于所述接口文档获取接口输入参数,并基于所述输入参数对所述虚拟接口进行虚拟测试,得到所述虚拟测试结果。

7、进一步地,在构建所述目标接口之后,还包括:调用测试用例模板,并基于所述接口文档生成接口测试用例;基于所述接口测试用例对所述目标接口进行测试,得到测试结果;在所述测试结果指示所述目标接口通过测试的情况下,发布所述目标接口。

8、进一步地,所述目标接口的构建方法还包括:所述接口管理服务器至少包括:eolinker服务器。

9、根据本发明实施例的另一方面,还提供了一种目标接口的构建装置,包括:接收单元,用于接收开发终端发送的接口代码,并基于所述接口代码确定目标接口的接口类型;调用单元,用于基于所述接口类型调用接口文档插件,其中,所述接口文档插件是预先配置的用于基于所述接口代码生成接口文档的插件;提取单元,用于通过所述接口文档插件扫描所述接口代码,提取所述接口代码中的具有注解信息的代码信息,生成接口文档;注册单元,用于基于所述接口文档在接口管理服务器中对所述目标接口进行注册,并生成虚拟接口,基于所述虚拟接口进行虚拟测试,得到虚拟测试结果;构建单元,用于在所述虚拟测试结果指示所述目标接口对应的虚拟接口通过虚拟测试的情况下,依据所述接口文档构建所述目标接口。

10、进一步地,所述目标接口的构建装置还包括:第一定义模块,用于基于接口创建需求定义所述目标接口的注解信息,其中,所述注解信息至少包括:所述目标接口的接口名称、所述目标接口的接口标识、所述目标接口的接口参数类型;第一添加模块,用于为所述接口代码添加所述注解信息,得到包含所述注解信息的所述接口代码。

11、进一步地,所述提取单元包括:第一扫描模块,用于由所述接口文档插件基于java反射技术扫描所述接口代码,并定位所述接口代码中的注解信息;第一提取模块,用于提取具有注解信息的接口代码和注解信息,得到接口参数集合;第一生成模块,用于基于所述接口参数集合生成所述接口文档。

12、进一步地,所述注册单元包括:第一登录模块,用于登录所述接口管理服务器,并基于所述接口文档对所述目标接口进行注册;第一识别模块,用于由所述接口管理服务器对待注册的所述目标接口进行识别,得到识别结果,在所述识别结果指示所述目标接口已注册的情况下,基于所述接口文档对所述目标接口的接口信息进行更新;第一新增模块,用于在所述识别结果指示所述目标接口未注册的情况下,在所述接口管理服务器新增所述目标接口的接口信息。

13、进一步地,所述注册单元还包括:第二生成模块,用于登录所述接口管理服务器,基于接口文档生成虚拟接口信息集合;第三生成模块,用于基于所述虚拟接口信息集合在所述接口管理服务器中生成所述虚拟接口;第一获取模块,用于基于所述接口文档获取接口输入参数,并基于所述输入参数对所述虚拟接口进行虚拟测试,得到所述虚拟测试结果。

14、进一步地,所述目标接口的构建装置还包括:第一调用模块,用于调用测试用例模板,并基于所述接口文档生成接口测试用例;第一测试模块,用于基于所述接口测试用例对所述目标接口进行测试,得到测试结果;第一发布模块,用于在所述测试结果指示所述目标接口通过测试的情况下,发布所述目标接口。

15、进一步地,所述目标接口的构建装置还包括:所述接口管理服务器至少包括:eolinker服务器。

16、根据本发明实施例的另一方面,还提供了一种计算机可读存储介质,所述计算机可读存储介质包括存储的计算机程序,其中,在所述计算机程序运行时控制所述计算机可读存储介质所在设备执行上述任意一项目标接口的构建方法。

17、根据本发明实施例的另一方面,还提供了一种电子设备,包括一个或多个处理器和存储器,所述存储器用于存储一个或多个程序,其中,当所述一个或多个程序被所述一个或多个处理器执行时,使得所述一个或多个处理器实现上述任意一项目标接口的构建方法。

18、在本公开中,通过以下步骤:先接收开发终端发送的接口代码,并基于接口代码确定目标接口的接口类型,再基于接口类型调用接口文档插件,其中,接口文档插件是预先配置的用于基于接口代码生成接口文档的插件,并通过接口文档插件扫描接口代码,提取接口代码中的具有注解信息的代码信息,生成接口文档,然后基于接口文档在接口管理服务器中对目标接口进行注册,并生成虚拟接口,基于虚拟接口进行虚拟测试,得到虚拟测试结果,最后在虚拟测试结果指示目标接口对应的虚拟接口通过虚拟测试的情况下,依据接口文档构建目标接口。

19、在本公开中,通过接口文档插件直接根据接口代码生成接口文档,无需手动编写,提升接口文档编写效率,减少开发终端的工作量,同时根据生成的接口文档对目标接口进行注册,在接口管理服务器中可以生成虚拟接口进行测试,测试通过即可构建和发布目标接口,将接口文档管理和接口测试关联起来,加快接口研发效率,进而解决了相关技术中,手动编写接口文档以及接口文档的管理和接口测试脱节导致接口研发效率较低的技术问题。

当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1