一种避免UDP协议丢包的电路结构及方法与流程

文档序号:16433772发布日期:2018-12-28 20:20阅读:562来源:国知局
一种避免UDP协议丢包的电路结构及方法与流程

本发明属于电路设计技术领域,涉及一种电路结构及方法,尤其是一种避免udp协议丢包的电路结构及方法。

背景技术

udp是userdatagramprotocol的简称,中文名是用户数据报协议,是osi(opensysteminterconnection,开放式系统互联)参考模型中一种无连接的传输层协议,提供面向事务的简单不可靠信息传送服务,ietfrfc768是udp的正式规范。

udp协议全称是用户数据报协议,在网络中它与tcp协议一样用于处理数据包,是一种无连接的协议。在osi模型中,在第四层——传输层,处于ip协议的上一层。udp有不提供数据包分组、组装和不能对数据包进行排序的缺点,也就是说,当报文发送之后,是无法得知其是否安全完整到达的。udp用来支持那些需要在计算机之间传输数据的网络应用。包括网络视频会议系统在内的众多的客户/服务器模式的网络应用都需要使用udp协议。udp协议从问世至今已经被使用了很多年,虽然其最初的光彩已经被一些类似协议所掩盖,但是即使是在今天udp仍然不失为一项非常实用和可行的网络传输层协议。

udp是osi参考模型中一种无连接的传输层协议,它主要用于不要求分组顺序到达的传输中,分组传输顺序的检查与排序由应用层完成,提供面向事务的简单不可靠信息传送服务。udp协议基本上是ip协议与上层协议的接口。udp协议适用端口分别运行在同一台设备上的多个应用程序。

近年来随着网络技术的高速发展,udp协议做为网络协议中的一员,因实时响应较强得到了广泛的应用。但由于udp协议本身是种不可靠协议,即它不会去检测是否建立连接,以及cpu缓存区是否够用,所以存在不可避免的丢包现象。此为现有技术的不足之处。

因此,针对现有技术中的上述缺陷,提供设计一种避免udp协议丢包的电路结构及方法;以解决现有技术中的上述缺陷,是非常有必要的。



技术实现要素:

本发明的目的在于,针对上述现有技术存在的缺陷,提供设计一种避免udp协议丢包的电路结构及方法,以解决上述技术问题。

为实现上述目的,本发明给出以下技术方案:

一种避免udp协议丢包的电路结构,其特征在于,包括fpga模块,前置以太网接口模块,后置以太网接口模块以及ddr3缓存模块;

fpga模块内的upd协议编码模块通过后置以太网接口模块连接到cpu端;

fpga模块内的udp协议解析模块通过前置以太网接口模块连接到网络数据端;

fgpa模块内的ddr3控制器接口连接到ddr3缓存模块。

前置以太网接口模块将网络数据端的数据导入udp协议解析模块;

udp协议解析模块将接收到的数据进行解析剥离,经解析剥离后的数据传送至udp协议编码模块;

udp协议编码模块将接收到的数据进行打包编号,经打包编号的数据通过后置以太网接口模块发送至cpu端,同时通过ddr3控制器接口发送至ddr3缓存模块进行存储;如果cpu端接收到的打包编号数据完成,则清除ddr3缓存模块中的数据,如果不完整,则将ddr3缓存模块中的数据取出,进行二次发送,直至cpu端接收到完整的打包编号数据;

后置以太网接口模块将udp协议编码模块打包编号后的数据转送至cpu端,并将cpu端对打包编号数据的检测结果传送至udp协议编码模块;

cpu端对后置以太网接口模块转发的打包编号数据进行检测,并将检测结果回复后置以太网接口模块。

本发明还提供一种避免udp协议丢包的方法,其特征在于,包括以下步骤:

s1:前置以太网接口模块将网络数据端的数据导入udp协议解析模块;

s2:udp协议解析模块将接收到的数据进行解析剥离,经解析剥离后的数据传送至udp协议编码模块;

s3:udp协议编码模块将接收到的数据进行打包编号,经打包编号的数据通过后置以太网接口模块发送至cpu端,同时通过ddr3控制器接口发送至ddr3缓存模块进行存储;

s4:后置以太网接口模块将udp协议编码模块打包编号后的数据转送至cpu端;

s5:cpu端对后置以太网接口模块转发的打包编号数据进行检测,如果接收到的数据是完整数据,则转到步骤s6;如果接收到的数据不完整,则转到步骤s7;

s6:清除ddr3缓存模块中的数据;并转到步骤s1;

s7:将ddr3缓存模块中的数据取出,通过后置以太网接口模块发送至cpu端,并转到步骤s5。

作为优选,所述步骤s7中,从ddr3缓存模块中取出的数据为上次数据传送过程中丢失的数据;避免全部数据的重复传送;提高传送效率和准确度。

本发明的有益效果在于,通过本发明提供的电路结构以及方法,能够有效避免在数据传送过程中发生数据包丢失的情况;提高数据传送的准确度和数据传送效率。

此外,本发明设计原理可靠,结构简单,具有非常广泛的应用前景。

由此可见,本发明与现有技术相比,具有突出的实质性特点和显著地进步,其实施的有益效果也是显而易见的。

附图说明

图1是本发明提供的一种避免udp协议丢包的电路结构原理框图。

其中,1-fpga模块,2-前置以太网接口模块,3-后置以太网接口模块,4-ddr3缓存模块,1.1-upd协议编码模块,1.2-udp协议解析模块,1.3-ddr3控制器接口,5-网络数据端,6-cpu端。

具体实施方式

下面结合附图并通过具体实施例对本发明进行详细阐述,以下实施例是对本发明的解释,而本发明并不局限于以下实施方式。

实施例1:

如图1所示,本发明提供的一种避免udp协议丢包的电路结构,包括fpga模块1,

fpga模块1内的upd协议编码模块1.1通过后置以太网接口模块3连接到cpu端6;

fpga模块1内的udp协议解析模块1.2通过前置以太网接口模块2连接到网络数据端5;

fgpa模块1内的ddr3控制器接口1.3连接到ddr3缓存模块4。

前置以太网接口模块2将网络数据端5的数据导入udp协议解析模块1.2;

udp协议解析模块1.2将接收到的数据进行解析剥离,经解析剥离后的数据传送至udp协议编码模块1.1;

udp协议编码模块1.1将接收到的数据进行打包编号,经打包编号的数据通过后置以太网接口模块3发送至cpu端6,同时通过ddr3控制器接口1.3发送至ddr3缓存模块4进行存储;如果cpu端6接收到的打包编号数据完成,则清除ddr3缓存模块4中的数据,如果不完整,则将ddr3缓存模块4中的数据取出,进行二次发送,直至cpu端6接收到完整的打包编号数据;

后置以太网接口模块3将udp协议编码模块1.1打包编号后的数据转送至cpu端6,并将cpu端6对打包编号数据的检测结果传送至udp协议编码模块1.1;

cpu端6对后置以太网接口模块3转发的打包编号数据进行检测,并将检测结果回复后置以太网接口模块3。

实施例2:

本实施例提供一种避免udp协议丢包的方法,包括以下步骤:

s1:前置以太网接口模块将网络数据端的数据导入udp协议解析模块;

s2:udp协议解析模块将接收到的数据进行解析剥离,经解析剥离后的数据传送至udp协议编码模块;

s3:udp协议编码模块将接收到的数据进行打包编号,经打包编号的数据通过后置以太网接口模块发送至cpu端,同时通过ddr3控制器接口发送至ddr3缓存模块进行存储;

s4:后置以太网接口模块将udp协议编码模块打包编号后的数据转送至cpu端;

s5:cpu端对后置以太网接口模块转发的打包编号数据进行检测,如果接收到的数据是完整数据,则转到步骤s6;如果接收到的数据不完整,则转到步骤s7;

s6:清除ddr3缓存模块中的数据;并转到步骤s1;

s7:将ddr3缓存模块中的数据取出,通过后置以太网接口模块发送至cpu端,并转到步骤s5。

本实施例中,所述步骤s7中,从ddr3缓存模块中取出的数据为上次数据传送过程中丢失的数据;避免全部数据的重复传送;提高传送效率和准确度。

以上公开的仅为本发明的优选实施方式,但本发明并非局限于此,任何本领域的技术人员能思之的没有创造性的变化,以及在不脱离本发明原理前提下所作的若干改进和润饰,都应落在本发明的保护范围内。

当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1