一种基于fpga的rs232、rs485及can转以太网装置的制造方法_2

文档序号:8583316阅读:来源:国知局

【具体实施方式】
[0016]图1中,一种基于FPGA的RS232、RS485及CAN转以太网装置,包括:CPU控制模块1、FPGA协议转换模块2、RS232电路模块3、RS485电路模块4、CAN电路模块5以及以太网PHY模块6,CPU控制模块I的型号为MPC8308,FPGA协议转换模块2使用Altera公司的EP4CE10E22C8芯片,FPGA协议转换模块2包括寄存器配置单元2_1、数据处理及存储单元2-2、数据流控制单元2-3、以太网数据协议转换单元2-4,寄存器配置单元2-1分别与数据处理及存储单元2-2、数据流控制单元2-3及以太网数据协议转换单元2-4连接,CPU控制模块I与FPGA协议转换模块2的AD总线端口连接,RS232电路模块3使用MAX232芯片,RS232电路模块3与FPGA协议转换模块2的RS232串行端口相连,RS485电路模块4使用MAX485芯片,RS485电路模块4与FPGA协议转换模块2的RS485串行端口相连,CAN电路模块5使用MPC2510作为CAN控制器,用PCA82C250作为CAN收发器,CAN电路模块5与FPGA协议转换模块2的CAN总线端口相连,以太网PHY模块6采用IPlOl芯片,以太网PHY模块6与FPGA协议转换模块2的MII端口相连。该装置的核心部分是FPGA内部的逻辑设计,该部分采用VHDL语言实现,设计了一系列的FPAG内部寄存器用来设置通信协议参数,完成了RS232、RS485及CAN接口的通信协议,以及在以太网数据转换中CRC、CHECKSUM计算,本装置能同时接收处理四种类型的数据,分别为RS232、RS485、CAN及以太网数据。在使用之前(PU控制模块(I)会根据要求通过AD总线写FPGA内部自定义的寄存器,来实现对通信参数的设置,当RS232电路模块3和RS485电路模块4接收到其他设备发送的数据时,会使用内部芯片将数据转换为TTL电平数据,并通过接口送到FPGA内部,FPGA内通过VHDL语言编程实现数据的处理及存储,当CAN电路模块5接收到其他设备发送的数据时,会通过中断信号告知FPGA,FPGA通过SPI接口,读取CAN控制器芯片的相应寄存器,得到数据并存储,当检测到FPGA内存RAM中有数据时,会将数据转换成以太网数据,通过MII接口发送到以太网PHY模块6。当以太网PHY模块6接收到UDP以太网数据时,会通过MII接口发送到FPGA,首先FPGA会根据MAC、IP判断是否为所需要的数据包,不是则丢弃,是则进行拆包,将UDP数据包中的解析并存储,同时根据数据包中端口号来判断将数据转成RS232、RS485或CAN数据协议,如需要转成232协议,FPGA会将数据转换成TTL数据通过232接口发送给RS232电路模块2。
【主权项】
1.一种基于FPGA的RS232、RS485及CAN转以太网装置,包括:CPU控制模块(1)、FPGA协议转换模块(2)、RS232电路模块(3)、RS485电路模块(4)、CAN电路模块(5)以及以太网PHY模块(6),其中FPGA协议转换模块(2)包括寄存器配置单元(2_1)、数据处理及存储单元(2-2)、数据流控制单元(2-3)、以太网数据协议转换单元(2-4),寄存器配置单元(2-1)分别与数据处理及存储单元(2-2)、数据流控制单元(2-3)及以太网数据协议转换单元(2-4 )连接;其特征是:CPU控制模块(I)与FPGA协议转换模块(2 )的AD总线端口连接,RS232电路模块(3)与FPGA协议转换模块(2)的RS232串行端口相连,RS485电路模块(4)与FPGA协议转换模块(2 )的RS485串行端口相连,CAN电路模块(5 )与FPGA协议转换模块(2)的CAN总线端口相连,以太网PHY模块(6)与FPGA协议转换模块(2)的MII端口相连。
2.根据权利要求1所述的一种基于FPGA的RS232、RS485及CAN转以太网装置,其特征在于,FPGA协议转换模块(2)包括寄存器配置单元(2-1)、数据处理及存储单元(2-2)、数据流控制单元(2-3)及以太网数据协议转换单元(2-4);寄存器配置单元(2-1)分别与数据处理及存储单元(2-2)、数据流控制单元(2-3)及以太网数据协议转换单元(2-4)连接;数据处理及存储单元(2-2)上有3个数据端口,分别为232串行端口、485串行端口和CAN总线端口 ;数据处理及存储单元(2-2)的数据输出端和数据流控制单元(2-3)数据输入端口连接,数据流控制单元(2-3)的数据输出端口和以太网数据协议转换单元(2-4)的输入端口连接。
3.根据权利I所述的一种基于FPGA的RS232、RS485及CAN转以太网装置,其特征在于:CPU控制模块(I)使用MPC8308芯片。
4.根据权利I或2所述的一种基于FPGA的RS232、RS485及CAN转以太网装置,其特征在于:FPGA协议转换模块(2)使用Altera公司的EP4CE10E22C8芯片。
5.根据权利I所述的一种基于FPGA的RS232、RS485及CAN转以太网装置,其特征在于:RS232电路模块(3)使用MAX232芯片,将232电平转换后送到FPAG协议装换模块,或者将FPAG给的数据装换成232电平。
6.根据权利I所述的一种基于FPGA的RS232、RS485及CAN转以太网装置,其特征在于:RS485电路模块(4)使用MAX485芯片,将总线数据转换后送到FPAG协议装换模块,或者将FPAG给的数据装换成总线数据。
7.根据权利I所述的一种基于FPGA的RS232、RS485及CAN转以太网装置,其特征在于:CAN电路模块(5)使用MPC2510做为CAN控制器,用PCA82C250作为CAN收发器。
8.根据权利I所述的一种基于FPGA的RS232、RS485及CAN转以太网装置,其特征在于:以太网PHY模块(6) IPlOl芯片,通过MII接口和FPGA连接。
【专利摘要】本实用新型公开了 一种 基于FPGA的RS232、RS485及CAN转以太网装置。该装置的 CPU控制模块与FPGA协议转换模块的AD总线端口连接,RS232电路模块与FPGA协议转换模块的RS232串行端口相连,RS485电路模块与FPGA协议转换模块的RS485串行端口相连,CAN电路模块与FPGA协议转换模块的CAN总线端口相连,以太网PHY模块与FPGA协议转换模块的MII端口相连。该装置可以同时实现RS232、RS485及CAN总线转以太网,节省了转换设备运行的成本,与此同时由于使用FPGA作为协议转换芯片,可以实现高速数据的转换。
【IPC分类】H04L12-40
【公开号】CN204291023
【申请号】CN201420774144
【发明人】权国栋, 满忠昊
【申请人】中国矿业大学
【公开日】2015年4月22日
【申请日】2014年12月11日
当前第2页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1