确定用于量测设备的最佳聚焦高度的方法与流程

文档序号:22400310发布日期:2020-09-29 18:12阅读:353来源:国知局
确定用于量测设备的最佳聚焦高度的方法与流程

相关申请的交叉引用

本申请要求于2018年2月2日递交的欧洲申请18154864.5的优先权,所述欧洲申请的全部内容通过引用并入本文中。

本公开涉及确定量测过程的最佳聚焦高度。



背景技术:

光刻设备为将期望的图案施加至衬底上(通常施加至衬底的目标部分上)的机械。光刻设备可以用于(例如)集成电路(ic)的制造中。在那种情况下,图案形成装置(其替代地被称作掩模或掩模版)可以用以产生将要形成在ic的单层上的电路图案。可以将这种图案转印至衬底(例如硅晶片)上的目标部分(例如包括管芯的一部分、一个管芯或若干管芯)上。典型地经由成像至设置于衬底上的辐射敏感材料(抗蚀剂)层上来进行图案的转印。通常,单个衬底将包含被连续地图案化的相邻目标部分的网络。

制造诸如半导体器件之类的器件典型地涉及使用数个制造过程来处理衬底(例如半导体晶片)以形成所述器件的各个特征且常常形成多个层。典型地使用例如沉积、光刻、蚀刻、化学机械抛光和离子植入来制造和处理这些层和/或特征。可以在衬底上的多个管芯上制造多个器件,并且接着将所述器件分离成单独的器件。这种器件制造过程可以被认为是图案化过程。图案化过程涉及图案转印步骤,诸如使用光刻设备的光学光刻术和/或纳米压印光刻术,以在衬底上提供图案且典型地但(可选地)涉及一个或更多个相关图案处理步骤,诸如通过显影设备的抗蚀剂显影、使用焙烤工具焙烤衬底、通过蚀刻设备蚀刻图案等。另外,在图案化过程中涉及一个或更多个量测过程。

在图案化过程期间在各个步骤下使用量测过程以监测和/或控制所述过程。例如,量测过程被用以测量衬底的一个或更多个特性,诸如在图案化过程期间形成在衬底上的特征的相对部位(例如配准、重叠、对准等)或尺寸(例如线宽、临界尺寸(cd)、厚度等),使得例如可以从所述一个或更多个特性确定图案化过程的性能。如果一个或更多个特性是不可接受的(例如在所述特性的预定范围之外),则可以例如基于所述一个或更多个特性的测量值来设计或变更图案化过程的一个或更多个变量,使得通过所述图案化过程制造的衬底具有可接受的特性。

几十年来,随着光刻和其它图案化过程技术的改进,功能性元件的尺寸已不断地减少,而每器件功能性元件(诸如晶体管)的量已稳定地增加。同时,对在重叠、临界尺寸(cd)等方面的准确度要求已变得越来越严格。将在图案化过程中不可避免地产生误差,诸如重叠中的误差、cd中的误差等。例如,可以从光学像差、图案形成装置加热、图案形成装置误差和/或衬底加热来产生成像误差,并且可以依据(例如)重叠、cd等来表征成像误差。另外或替代地,可以在图案化过程的其它部分中(诸如在蚀刻、显影、焙烤等中)引入误差,并且类似地,可以依据(例如)重叠、cd等来表征所述误差。所述误差可能引起在器件的运行方面的问题,包括器件运行的故障,或运行的器件的一个或更多个电气问题。因此,期望能够表征一个或更多个这些误差且采取步骤以设计、修改、控制等图案化过程,以减少或最小化这些误差中的一个或更多个误差。

各种工具可以用于执行量测过程,包括各种形式的散射仪。这些装置将辐射束引导至量测目标上并测量散射辐射的一个或更多个性质-例如,作为波长的函数的在单个反射角下的强度或在一反射角范围上的强度;作为反射角的函数的在一个或更多个波长下的强度;或作为反射角的函数的偏振-以获得可以用于确定目标的感兴趣的性质的“光谱”。可以通过各种技术来执行感兴趣的性质的确定:例如,通过使用严格的耦合波分析或有限元方法实施的迭代方法而进行的量测目标的重构;库搜索;和主成分分析。

可能期望将量测目标定位在可用空间很小的部位中,例如定位在包含正被制造的产品的结构的产品区域中。定位在这样的区域中的量测目标需要是小的。将辐射斑与这样的量测目标对准具有挑战性。辐射斑可以在依赖于对准准确度和/或聚焦的范围内对量测目标外部的区进行取样,由此降低量测过程的准确度。



技术实现要素:

期望改善用于测量目标的现有方法。

根据本发明的一方面,提供一种确定量测过程的最佳聚焦高度的方法,包括:从所述量测过程至目标的多次应用获得测量数据,其中:所述量测过程的每次应用包括利用辐射斑来照射所述目标并检测由所述目标改变方向的辐射;所述量测过程的所述应用包括在不同名义聚焦高度处的应用;针对所述量测过程的每次应用,所述测量数据包含光瞳平面中的所述被改变方向的辐射的光学特性的检测到的光瞳表示的至少一个分量;以及所述方法包括使用所获得的测量数据来确定所述量测过程的最佳聚焦高度。

附图说明

现在将参考随附示意性附图而仅通过示例来描述本发明的实施例,在所述附图中,相应的附图标记指示相应的部分,并且在所述附图中:

图1示意性地描绘光刻设备的实施例;

图2示意性地描绘光刻单元或光刻簇的实施例;

图3a是用于根据实施例使用提供某些照射模式的第一对照射孔来测量目标的测量设备的示意图;

图3b是针对给定照射方向的目标的衍射光谱的示意性细节;

图3c是在使用测量设备以用于基于衍射的重叠测量时提供另外的照射模式的第二对照射孔的示意性图示;

图3d是在使用测量设备以用于基于衍射的重叠测量时组合第一对孔与第二对孔的提供另外的照射模式的第三对照射孔的示意性图不;

图4示意性地描绘在衬底上的多重周期性结构(例如多重光栅)目标的形式和测量斑的轮廓;

图5示意性地描绘图3的设备中获得的图4的目标的图像;

图6示意性地描绘量测设备和量测技术的示例;

图7示意性地描绘量测设备的示例;

图8图示量测设备的照射斑与量测目标之间的关系;

图9示意性地描绘基于测量数据导出一个或更多个感兴趣的变量的过程;

图10a示意性地描绘示例单位单元、相关联的光瞳表示和相关联的导出的光瞳表示;

图10b示意性地描绘示例单位单元、相关联的光瞳表示和相关联的导出的光瞳表示;

图10c示意性地描绘包括单位单元的一个或更多个实体实例的示例目标;

图11是示出辐射斑在目标的上表面上的聚焦的示意性侧视截面图;

图12是示出辐射斑在目标内的聚焦的示意性侧视截面图;

图13是示出辐射斑与目标的对准的示意性俯视图;

图14是示出提供图13的辐射斑的束的聚焦的示意性侧视截面图;

图15是示出图14中所描绘的束的未对准和散焦的效应的示意性侧视截面图;

图16是描绘产生测量数据的方法的流程图;

图17是描绘根据任何实施例确定最佳聚焦高度的方法的流程图;

图18是示意性地描绘针对辐射斑的三个不同名义对准的数学展开式的系数的作为聚焦高度的函数的变化的图,所述数学展开式表示检测到的光瞳表示的对称分量;

图19是示意性地描绘针对辐射斑的五个不同名义对准的数学展开式的系数的作为聚焦高度的函数的变化的图,所述数学展开式表示检测到的光瞳表示的不对称分量;

图20描绘可以实施本公开的实施例的计算机系统。

具体实施方式

在详细地描述实施例之前,呈现可以在其中实施实施例的示例环境是有指导性的。

图1示意性地描绘光刻设备la。所述设备包括:

-照射系统(照射器)il,所述照射系统被配置成调节辐射束b(例如uv辐射或duv辐射);

-支撑结构(例如掩模台)mt,所述支撑结构被配置成支撑图案形成装置(例如掩模)ma,并且连接至被配置成根据某些参数来准确地定位所述图案形成装置的第一定位器pm;

-衬底台(例如晶片台)wt,所述衬底台被配置成保持衬底(例如涂覆有抗蚀剂的晶片)w并连接至被配置成根据某些参数来准确地定位所述衬底的第二定位器pw;和

-投影系统(例如折射型投影透镜系统)ps,所述投影系统被配置成将由图案形成装置ma赋予至辐射束b的图案投影至衬底w的目标部分c(例如包括一个或更多个管芯)上,所述投影系统被支撑在参考框架(rf)上。

照射系统可以包括用于引导、成形或控制辐射的各种类型的光学部件,诸如折射型、反射型、磁性型、电磁型、静电型或其它类型的光学部件,或其任何组合。

支撑结构以依赖于图案形成装置的定向、光刻设备的设计和其它条件(诸如图案形成装置是否被保持在真空环境中)的方式来支撑图案形成装置。支撑结构可以使用机械、真空、静电或其它夹持技术以保持图案形成装置。支撑结构可以是(例如)框架或台,框架或台可以根据需要而是固定的或可移动的。支撑结构可以确保图案形成装置(例如)相对于投影系统处于期望的位置。可以认为本文中对术语“掩模版”或“掩模”的任何使用都与更上位的术语“图案形成装置”是同义的。

本文中所使用的术语“图案形成装置”应被广泛地解释为是指可以用以在衬底的目标部分中赋予图案的任何装置。在实施例中,图案形成装置是可以用以在辐射束的横截面中向辐射束赋予图案以便在衬底的目标部分中产生图案的任何装置。应注意,例如,如果被赋予至辐射束的图案包括相移特征或所谓的辅助特征,则所述图案可以不准确地对应于衬底的目标部分中的期望的图案。通常,被赋予至辐射束的图案将对应于目标部分中所产生的器件(诸如集成电路)中的特定功能层。

图案形成装置可以是透射型的或反射型的。图案形成装置的示例包括掩模、可编程反射镜阵列和可编程液晶显示(lcd)面板。掩模在光刻中是众所周知的,并且包括诸如二元、交变相移和衰减相移之类的掩模类型,以及各种混合式掩模类型。可编程反射镜阵列的示例使用小反射镜的矩阵布置,所述小反射镜中的每个小反射镜可以被单独地倾斜,以便使入射辐射束在不同方向上反射。被倾斜的反射镜在由反射镜矩阵反射的辐射束中赋予图案。

本文中所使用的术语“投影系统”应被广泛地解释为涵盖适于所使用的曝光辐射或适于诸如浸没液体的使用或真空的使用之类的其它因素的任何类型的投影系统,包括折射型、反射型、反射折射型、磁性型、电磁型和静电型光学系统,或其任何组合。可以认为本文中对术语“投影透镜”的任何使用都与更上位的术语“投影系统”同义。

投影系统ps具有可以是非均一且可能影响成像在衬底w上的图案的光学传递函数。对于非偏振辐射,这些效应可以由两个纯量映射相当良好地描述,所述两个纯量映射描述作为射出投影系统ps的辐射的光瞳平面中的位置的函数的所述辐射的透射(变迹)和相对相位(像差)。可以将可以被称作透射映射和相对相位映射的这些纯量映射表达为基函数全集的线性组合。特别方便的集合是泽尼克多项式,所述泽尼克多项式形成在单位圆上限定的正交多项式集合。每个纯量映射的确定可以涉及确定这种展开式中的系数。由于泽尼克多项式在单位圆上正交,故可以通过依次计算所测量的纯量映射与每个泽尼克多项式的内积且将这种内积除以所述泽尼克多项式的范数的平方来确定泽尼克系数。

透射映射和相对相位映射是依赖于场和系统的。即,通常,每个投影系统ps将针对每个场点(即,针对投影系统ps的像平面中的每个空间部位)具有不同的泽尼克展开式。可以通过将辐射(例如)从投影系统ps的物平面(即,图案形成装置ma的平面)中的类点源投影通过投影系统ps且使用剪切干涉仪以测量波前(即,具有相同相位的点的轨迹)来确定投影系统ps在其光瞳平面中的相对相位。剪切干涉仪为共同路径干涉仪,因此,有利地,无需次级参考束来测量波前。剪切干涉仪可以包括:衍射光栅,例如,投影系统的像平面(即,衬底台wt)中的二维栅格;和检测器,所述检测器被布置成检测与投影系统ps的光瞳平面共轭的平面中的干涉图案。干涉图案与辐射的相位相对于在剪切方向上在光瞳平面中的坐标的导数相关。检测器可以包括感测元件阵列,诸如例如电荷耦合器件(ccd)。

光刻设备的投影系统ps可以不产生可见条纹,因此,可以使用相位步进技术(诸如移动衍射光栅)来增强波前确定的准确度。可以在衍射光栅的平面中和在垂直于测量的扫描方向的方向上执行步进。步进范围可以是一个光栅周期,并且可以使用至少三个(均一地分布的)相位步进。因此,例如,可以在y方向上执行三个扫描测量,每个扫描测量针对在x方向上的不同位置来执行。衍射光栅的这种步进将相位变化有效地变换成强度变化,从而允许确定相位信息。光栅可以在垂直于衍射光栅的方向(z方向)上步进以校准检测器。

可以通过将辐射(例如)从投影系统ps的物平面(即,图案形成装置ma的平面)中的类点源投影通过投影系统ps且使用检测器来测量与投影系统ps的光瞳平面共轭的平面中的辐射强度来确定投影系统ps在其光瞳平面中的透射(变迹)。可以使用与用以测量波前以确定像差的检测器相同的检测器。

投影系统ps可以包括多个光学(例如,透镜)元件且还可以包括调整机构am,所述调整机构被配置成调整所述光学元件中的一个或更多个光学元件以便校正像差(横跨整个场的光瞳平面的相位变化)。为了实现这种校正,调整机构是可操作的以便以一种或更多种不同方式来操控投影系统ps内的一个或更多个光学(例如,透镜)元件。投影系统可以具有一坐标系,在坐标系中其光学轴线在z方向上延伸。调整机构是可操作的以进行以下各项的任何组合:使一个或更多个光学元件位移;使一个或更多个光学元件倾斜;和/或使一个或更多个光学元件变形。光学元件的位移可以在任何方向(x、y、z或其组合)上进行。光学元件的倾斜典型地是通过围绕在x和/或y方向上的轴旋转来从垂直于光学轴线的平面偏离,但对于非旋转对称的非球面光学元件可以使用围绕z轴的旋转。光学元件的变形可以包括低频形状(例如,像散)和/或高频形状(例如,自由形式非球面)。可以(例如)通过使用一个或更多个致动器以对光学元件的一个或更多个侧施加力和/或通过使用一个或更多个加热元件来加热光学元件的一个或更多个选定区来执行光学元件的变形。通常,不可能调整投影系统ps来校正变迹(横跨光瞳平面的透射变化)。可以在设计用于光刻设备la的图案形成装置(例如,掩模)ma时使用投影系统ps的透射映射。使用计算光刻技术,图案形成装置ma可以被设计成用以至少部分地校正变迹。

如这里所描绘的,所述设备属于透射类型(例如,使用透射型掩模)。替代地,所述设备可以属于反射类型(例如,使用上文提及的类型的可编程反射镜阵列,或使用反射型掩模)。

光刻设备可以属于具有两个(双平台)或多于两个台(例如,两个或更多个衬底台wta、wtb、两个或更多个图案形成装置台、在没有专用于(例如)促进测量和/或清洁等的衬底的情况下在投影系统下方的衬底台wta和台wtb)的类型。在这些“多平台”式机械中,可以并行地使用额外的台,或可以在一个或更多个台上进行预备步骤,同时将一个或更多个其它台用于曝光。例如,可以进行使用对准传感器as的对准测量和/或使用水平传感器ls的水平(高度、倾角等)测量。

光刻设备也可以属于如下类型:其中衬底的至少一部分可以由具有相对高折射率的液体(例如,水)覆盖,以便填充投影系统与衬底之间的空间。也可以将浸没液体施加至光刻设备中的其它空间,例如,图案形成装置与投影系统之间的空间。浸没技术在本领域中被熟知地用于增大投影系统的数值孔径。如本文中所使用的术语“浸没”并不意味着诸如衬底之类的结构必须浸没在液体中,而是仅意味着液体在曝光期间位于投影系统与衬底之间。

参考图1,照射器il从辐射源so接收辐射束。例如,当源是准分子激光器时,源和光刻设备可以是分立的实体。在这些情况下,不认为源形成光刻设备的部分,并且辐射束是借助于包括(例如)合适的定向反射镜面和/或扩束器的束传递系统bd来从源so传递至照射器il。在其它情况下,例如,当源为汞灯时,源可以是光刻设备的组成部分。源so和照射器il连同束传递系统bd(在需要时)可以被称作辐射系统。

照射器il可以包括被配置成调整辐射束的角强度分布的调整器ad。通常,可以调整照射器的光瞳平面中的强度分布的至少外部径向范围和/或内部径向范围(通常分别被称作σ外部和σ内部)。另外,照射器il可以包括各种其它部件,诸如积分器in和聚光器co。照射器可以用以调节辐射束,以在其横截面中具有期望的均一性和强度分布。

辐射束b入射到被保持在支撑结构(例如,掩模台)mt上的图案形成装置(例如,掩模)ma上,并且由所述图案化器件图案化。在已横穿图案形成装置ma的情况下,辐射束b通过投影系统ps,投影系统ps将所述束聚焦至衬底w的目标部分c上。借助于第二定位器pw和位置传感器if(例如,干涉装置、线性编码器、2-d编码器或电容性传感器),可以准确地移动衬底台wt,例如,以便将不同的目标部分c定位在辐射束b的路径中。类似地,第一定位器pm和另一位置传感器(另一位置传感器没有在图1中被明确地描绘)可以用以(例如)在从掩模库的机械获取之后或在扫描期间相对于辐射束b的路径来准确地定位图案形成装置ma。通常,可以借助于形成第一定位器pm的部分的长行程模块(粗定位)和短行程模块(精定位)来实现支撑结构mt的移动。类似地,可以使用形成第二定位器pw的部分的长行程模块和短行程模块来实现衬底台wt的移动。在步进器(相对于扫描仪)的情况下,支撑结构mt可以仅连接至短行程致动器,或可以是固定的。可以使用图案形成装置的对准标记m1、m2和衬底对准标记p1、p2来对准图案形成装置ma和衬底w。虽然如所图示的衬底对准标记占据专用目标部分,但所述衬底对准标记可以位于目标部分之间的空间中(这些标记被称为划线对准标记)。类似地,在多于一个的管芯设置于图案形成装置ma上的情形中,图案形成装置的对准标记可以位于所述管芯之间。

所描绘的设备可以用于以下模式中的至少一种模式中:

1.在步进模式中,在将被赋予至辐射束的整个图案一次性投影至目标部分c上时,使支撑结构mt和衬底台wt保持基本上静止(即,单次静态曝光)。接着,使衬底台wt在x和/或y方向上移位,使得可以曝光不同的目标部分c。在步进模式中,曝光场的最大大小限制单次静态曝光中成像的目标部分c的大小。

2.在扫描模式中,在将被赋予至辐射束的图案投影至目标部分c上时,同步地扫描支撑结构mt和衬底台wt(即,单次动态曝光)。可以通过投影系统ps的放大率(缩小率)和图像反转特性来确定衬底台wt相对于支撑结构mt的速度和方向。在扫描模式中,曝光场的最大大小限制单次动态曝光中的目标部分的(在非扫描方向上的)宽度,而扫描运动的长度确定目标部分的(在扫描方向上的)高度。

3.在另一模式中,在将被赋予至辐射束的图案投影至目标部分c上时,使支撑结构mt保持基本上静止,从而保持可编程图案形成装置,并且移动或扫描衬底台wt。在这种模式中,通常使用脉冲式辐射源,并且在衬底台wt的每个移动之后或在扫描期间的连续辐射脉冲之间根据需要而更新可编程图案形成装置。这种操作模式可以易于应用于利用可编程图案形成装置(诸如上文提及的类型的可编程反射镜阵列)的无掩模光刻术。

也可以使用对上文所描述的使用模式的组合和/或变化或完全不同的使用模式。

如图2所示出的,光刻设备la可以形成光刻单元lc(有时也被称作光刻元或簇)的部分,光刻单元lc也包括用以对衬底执行曝光前过程和曝光后过程的设备。通常,这些设备包括用以沉积一个或更多个抗蚀剂层的一个或更多个旋涂器sc、用以显影曝光后的抗蚀剂的一个或更多个显影器de、一个或更多个激冷板ch和/或一个或更多个焙烤板bk。衬底处理装置或机械人ro从输入/输出端口i/o1、i/o2拾取一个或更多个衬底,在不同过程设备之间移动衬底且将衬底递送至光刻设备的进料台lb。常常被统称为轨道的这些设备处于轨道控制单元tcu的控制下,轨道控制单元tcu自身受到管理控制系统scs控制,管理控制系统scs也经由光刻控制单元lacu来控制光刻设备。因此,不同设备可以被操作以最大化生产量和处理效率。

为了正确地且一致地曝光由光刻设备曝光的衬底,期望检查曝光后的衬底以测量或确定一个或更多个性质,诸如重叠(重叠可以(例如)在叠置层中的结构之间,或在同一层中的已通过(例如)双重图案化过程分别提供至所述层的结构之间)、线厚度、临界尺寸(cd)、聚焦偏移、材料性质等。因此,光刻单元lc所在的制造设施也典型地包括量测系统met,量测系统met接收已在光刻单元中被处理的衬底w中的一些或全部。量测系统met可以是光刻单元lc的部分,例如,所述量测系统可以是光刻设备la的部分。

可以将量测结果直接或间接地提供至管理控制系统scs。如果检测到误差,则可以对后续衬底的曝光(尤其在可以足够迅速且快速完成检查使得所述批量的一个或更多个其它衬底仍将要曝光的情况下)和/或对曝光后的衬底的后续曝光进行调整。此外,已曝光后的衬底可以被剥离和返工以改善良率,或被舍弃,由此避免对已知有缺陷的衬底执行进一步处理。在衬底的仅一些目标部分有缺陷的情况下,可以仅对良好的那些目标部分执行另外的曝光。

在量测系统met内,量测设备用以确定衬底的一个或更多个性质,并且尤其确定不同衬底的一个或更多个性质如何变化或同一衬底的不同层在不同层间如何变化。量测设备可以集成至光刻设备la或光刻单元lc中,或可以是单独的装置。为了实现快速测量,期望使量测设备紧接在曝光之后测量曝光后的抗蚀剂层的一个或更多个性质。然而,抗蚀剂中的潜像具有低对比度-在已曝光至辐射的抗蚀剂的部分与还没有曝光至辐射的抗蚀剂的部分之间仅存在非常小的折射率差-且并非所有量测设备都具有足够的敏感度以进行潜像的有用测量。因此,可以在曝光后焙烤步骤(peb)之后进行测量,曝光后焙烤步骤通常为对曝光后的衬底进行的第一步骤且增加抗蚀剂的曝光后的部分与未曝光的部分之间的对比度。在这个阶段,抗蚀剂中的图像可以被称为半潜像。也可能对显影后的抗蚀剂图像进行测量-这时,抗蚀剂的经曝光部分或未曝光部分已被移除-或在诸如蚀刻的图案转印步骤之后对显影后的抗蚀剂图像进行测量。后一可能性限制返工有缺陷衬底的可能性,但仍可以提供有用信息。

为了实现量测,可以将一个或更多个目标设置于衬底上。在实施例中,目标被专门设计且可以包括周期性结构。在实施例中,目标是器件图案的一部分,例如是器件图案的周期性结构。在实施例中,器件图案为存储器装置的周期性结构(例如,双极晶体管(bpt)、位线触点(blc)等结构)。

在实施例中,衬底上的目标可以包括一个或更多个1-d周期性结构(例如,光栅),所述1-d周期性结构被印制成使得在显影之后,周期性结构特征由实体抗蚀剂线形成。在实施例中,目标可以包括一个或更多个2-d周期性结构(例如,光栅),所述2-d周期性结构被印制成使得在显影之后,所述一个或更多个周期性结构由抗蚀剂中的实体抗蚀剂导柱或通孔形成。栅条、导柱或通孔可替代地被蚀刻至衬底中(例如,被蚀刻至衬底上的一个或更多个层中)。

在实施例中,图案化过程的感兴趣的参数中的一个感兴趣的参数是重叠。可以使用暗场散射测量来测量重叠,其中阻挡零阶衍射(对应于镜面反射),并且仅处理更高阶。可以在pct专利申请公开出版物第wo2009/078708号和第wo2009/106279号中发现暗场量测的示例,所述专利申请公开出版物的全文由此以引用方式并入。美国专利申请公开出版物us2011-0027704、us2011-0043791和us2012-0242970中已描述所述技术的进一步开发,所述专利申请公开出版物的全文由此以引用方式并入。使用衍射阶的暗场检测的基于衍射的重叠实现对较小目标的重叠测量。这些目标可以小于照射斑且可以由衬底上的器件产品结构环绕。在实施例中,可以在一个辐射采集中测量多个目标。

图3a中示意性地示出适合用于实施例中以测量(例如)重叠的量测设备。图3b中更详细地图示目标t(包括诸如光栅的周期性结构)和衍射射线。量测设备可以是单独的装置,或并入于(例如)测量站处的光刻设备la中或并入于光刻单元lc中。贯穿所述设备具有若干分支的光学轴线由虚线o表示。在这种设备中,由输出11(例如,诸如激光器或氙气灯的源,或连接至源的开口)发射的辐射由包括透镜12、14和物镜16的光学系统经由棱镜15而引导至衬底w上。这些透镜被布置成4f布置的双重序列。如果不同的透镜配置仍将衬底图像提供至检测器上,则可以使用所述不同的透镜布置。

在实施例中,透镜布置允许访问中间光瞳平面以用于空间-频率滤光。因此,可以通过在呈现衬底平面的空间光谱的平面(这里该平面被称作(共轭)光瞳平面)中限定空间强度分布来选择辐射入射到衬底上的角度范围。具体地,可以(例如)通过在为物镜光瞳平面的背向投影式图像的平面中在透镜12与14之间插入合适形式的孔板13来进行这种选择。在所图示示例中,孔板13具有不同形式(被标注为13n和13s),从而允许选择不同的照射模式。本示例中的照射系统形成离轴照射模式。在第一照射模式中,孔板13n提供来自仅出于描述目的起见而被指定为“北”的方向的离轴照射。在第二照射模式中,孔板13s用以提供类似的照射,但类似的照射来自被标注为“南”的相反的方向。通过使用不同的孔,其它照射模式是可能的。光瞳平面的其余部分期望地是暗的,这是因为期望的照射模式外部的任何不必要辐射可能干涉期望的测量信号。

如图3b中所示出的,目标t被放置成使得衬底w大体上垂直于物镜16的光学轴线o。与轴线o成角度而照射在目标t上的照射射线i引起一个零阶射线(实线0)和两个一阶射线(点划线+1和双点划线-1)。在利用过填充的小目标t的情况下,这些射线仅仅为覆盖包括量测目标t和其它特征的衬底区域的许多平行射线中的一条射线。由于板13中的孔具有(接纳有用量的辐射所必要的)有限的宽度,故入射射线i实际上将占据一角度范围,并且衍射射线0和+1/-1将稍微散开。根据小目标的点扩散函数,每个阶+1和-1将在一角度范围上进一步散开,而不是如所示出的单条理想射线。应注意,周期性结构节距和照射角度可以被设计或被调整成使得进入物镜的一阶射线与中心光学轴线紧密地对准。图3a和图3b中所图示的射线被示出为稍微离轴,以纯粹地使其能够在图示中被较容易地区分。由衬底w上的目标衍射的至少0阶和+1阶由物镜16收集,并且被返回引导通过棱镜15。

返回至图3a,通过指定被标注为北(n)和南(s)的完全相对的孔来图示第一照射模式和第二照射模式两者。当入射射线i是来自光学轴线的北侧时,即,当使用孔板13n来应用第一照射模式时,被标注为+1(n)的+1衍射射线进入物镜16中。与此对比,当使用孔板13s来应用第二照射模式时,-1衍射射线(被标注为-1(s))是进入透镜16中的衍射射线。因此,在实施例中,通过在某些条件下两次测量目标(例如,在使目标旋转或改变照射模式或改变成像模式以分别获得-1衍射阶强度和+1衍射阶强度之后)来获得测量结果。针对给定目标比较这些强度会提供所述目标中的不对称性的测量结果,并且所述目标中的不对称性可以用作光刻过程的参数的指标,例如,重叠。在以上所描述的情形下,改变照射模式。

分束器17将衍射束划分成两个测量分支。在第一测量分支中,光学系统18使用零阶衍射束和一阶衍射束来在第一传感器19(例如,ccd或cmos传感器)上形成目标的衍射光谱(光瞳平面图像)。每个衍射阶射中传感器上的不同点,使得图像处理可以比较和对比若干阶。由传感器19采集的光瞳平面图像可以用于对量测设备进行聚焦和/或对强度测量结果进行归一化。光瞳平面图像也可以用于诸如重构之类的其它测量目的,如下文进一步所描述的。

在第二测量分支中,光学系统20、22在传感器23(例如,ccd或cmos传感器)上形成衬底w上的目标的图像。在第二测量分支中,将孔径光阑21提供于与物镜16的光瞳平面共轭的平面中。孔径光阑21用以阻挡零阶衍射束,使得形成在传感器23上的目标的图像由-1或+1一阶束形成。将关于由传感器19和23测量的数据输出至处理器和控制器pu,处理器和控制器pu的功能将依赖于正被执行的测量的特定类型。应注意,这里在广义上使用术语“图像”。因而如果仅存在-1阶和+1阶中的一个,则将不形成周期性结构特征(例如,光栅线)本身的图像。

图3中所示出的孔板13和光阑21的特定形式纯粹是示例。在另一个实施例中,使用目标的同轴照射,并且使用具有离轴孔的孔径光阑以将大体上仅一个阶衍射辐射传递至传感器。在又一其它实施例中,代替一阶束或除了一阶束以外,二阶束、三阶束和更高阶束(图3中未图示)也可以用于测量中。

为了使照射可以适应于这些不同类型的测量,孔板13可以包括围绕圆盘而形成的数个孔图案,所述圆盘旋转以使期望的图案处于适当的位置。应注意,使用孔板13n或13s以测量在一个方向(依赖于设置而是x方向或y方向)上定向的目标的周期性结构。为了测量正交周期性结构,可能实施通过90°和270°的目标旋转。图3c和图3d中示出不同的孔板。图3c图示离轴照射模式的另外两种类型。在图3c的第一照射模式中,孔板13e提供来自仅出于描述目的起见而相对于先前所描述的“北”指定为“东”的方向的离轴照射。在图3c的第二照射模式中,孔板13w用以提供类似的照射,但提供来自被标注为“西”的相反方向的照射。图3d图示离轴照射模式的另外两种类型。在图3d的第一照射模式中,孔板13nw提供来自被指定为如先前所描述的“北”和“西”的方向的离轴照射。在第二照射模式中,孔板13se用以提供类似的照射,但提供来自被标注为如先前所描述的“南”和“东”的相反方向的照射。例如,上文提及的先前公布的专利申请公开出版物中描述了设备的这些和多种其它变化和应用的使用。

图4描绘形成在衬底上的示例复合量测目标t。所述复合目标包括紧密定位在一起的四个周期性结构(在这种情况下是光栅)32、33、34、35。在实施例中,可以使周期性结构布局小于测量斑(即,周期性结构布局被过填充)。因此,在实施例中,周期性结构足够接近地定位在一起,使得周期性结构都在由量测设备的照射束形成的测量斑31内。在所述情况下,所述四个周期性结构因此都被同时地照射且同时地成像在传感器19和23上。在专用于重叠测量的示例中,周期性结构32、33、34、35自身是由重叠的周期性结构而形成的复合周期性结构(例如,复合光栅),即,周期性结构在形成在衬底w上的器件的不同层中被图案化且使得一个层中的至少一个周期性结构与不同层中的至少一个周期性结构重叠。这种目标可以具有在20μm×20μm内或在16μm×16μm内的外部尺寸。另外,所有周期性结构用以测量特定层对之间的重叠。为了促进目标能够测量多于单个层对,周期性结构32、33、34、35可以具有被不同偏置的重叠偏移,以便促进形成有复合周期性结构的不同部分的不同层之间的重叠的测量。因此,用于衬底上的目标的所有周期性结构将用以测量一个层对,并且用于衬底上的另一相同目标的所有周期性结构将用以测量另一层对,其中不同偏置促进区分所述层对。

返回至图4,周期性结构32、33、34、35也可以在其定向上不同(如所示出的),以便在x和y方向上对入射辐射进行衍射。在一个示例中,周期性结构32和34是分别具有+d、-d的偏置的x方向周期性结构。周期性结构33和35可以是分别具有偏移+d和-d的y方向周期性结构。虽然图示四个周期性结构,但另一个实施例可以包括较大矩阵以获得期望的准确度。例如,九个复合周期性结构的3×3阵列可以具有偏置-4d、-3d、-2d、-d、0、+d、+2d、+3d、+4d。可以在由传感器23采集的图像中识别这些周期性结构的单独的图像。

图5示出在使用来自图3d的孔板13nw或13se的情况下在图3的设备中使用图4的目标而可以形成在传感器23上且由传感器23检测的图像的示例。虽然传感器19不能分辨不同的单独的周期性结构32至35,但传感器23可以分辨不同的单独的周期性结构32至35。暗矩形表示传感器上的图像的场,在这个场内,衬底上的照射斑31成像至相应的圆形区域41中。在这个场内,矩形区域42至45表示周期性结构32至35的图像。没有定位在划线中或除了定位在划线中,目标也可以定位在器件产品特征当中。如果周期性结构位于器件产品区域中,则这个图像场的周边中也可以看见器件特征。处理器和控制器pu使用图案识别来处理这些图像,以识别周期性结构32至35的单独的图像42至45。以这种方式,图像并不必在传感器框架内的特定部位处非常精确地对准,这极大地改善了量测设备整体上的生产量。

一旦已识别周期性结构的单独的图像,就可以(例如)通过对所识别的区域内的选定像素强度值求平均值或求和,来测量那些单独图像的强度。可以将所述图像的强度和/或其它性质彼此进行比较。可以将这些结果进行组合以测量光刻过程的不同参数。重叠性能是这种参数的示例。

在实施例中,图案化过程的感兴趣的参数中的一个感兴趣的参数是特征宽度(例如,cd)。图6描绘可以实现特征宽度确定的高度示意性示例量测设备(例如,散射仪)。所述量测设备包括将辐射投影至衬底w上的宽带(白光)辐射投影仪2。被改变方向的辐射传递至光谱仪检测器4,所述光谱仪检测器测量镜面反射辐射的光谱10(作为波长的函数的强度),如(例如)在左下方的图中所示出的。根据这种数据,可以通过处理器pu(例如)通过严格的耦合波分析和非线性回归或通过与图6的右下方所示出的模拟光谱库的比较来重构导致检测到的光谱的结构或轮廓。通常,对于重构,结构的一般形式是众所周知的,并且根据用于制造结构的过程的知识来假定一些变量,从而仅留下结构的几个变量以根据所测量的数据来确定。这种量测设备可以被配置成正入射量测设备或斜入射量测设备。此外,除了通过重构进行参数的测量以外,角分辨散射测量也有用于产品和/或抗蚀剂图案中的特征的不对称性测量。不对称性测量的特定应用是针对重叠的测量,其中目标包括叠加在另一组周期性特征上的一组周期性特征。例如,全文并入本文中的美国专利申请公开出版物us2006-066855中描述以这种方式的不对称性测量的概念。

图7图示适合用于本公开的实施例中的量测设备100的示例。全文以引用方式并入本文中的美国专利申请第us2006-033921号和第us2010-201963号中更详细地解释这种类型的量测设备的操作原理。贯穿所述设备具有若干分支的光学轴线由虚线o表示。在这种设备中,由源110(例如,氙气灯)发射的辐射经由光学系统被引导至衬底w上,所述光学系统包括:透镜系统120、孔板130、透镜系统140、部分反射表面150和物镜160。在实施例中,这些透镜系统120、140、160被布置成4f布置的双重序列。在实施例中,使用透镜系统120来准直由辐射源110发射的辐射。可以根据需要来使用不同的透镜布置。可以通过在呈现衬底平面的空间光谱的平面中限定空间强度分布来选择辐射入射到衬底上的角度范围。具体地,可以通过在作为物镜光瞳平面的背向投影式图像的平面中、在透镜120与140之间插入合适形式的孔板130来进行这种选择。通过使用不同的孔,不同的强度分布(例如,环形、偶极等)是可能的。在径向和周向方向上的照射的角分布以及诸如辐射的波长、偏振和/或相干性的性质可都经调整以获得期望的结果。例如,一个或更多个干涉滤光器130(参见图9)可以被设置在源110与部分反射表面150之间以选择在(比如)400nm至900nm或甚至更低(诸如200nm至300nm)范围内的感兴趣的波长。干涉滤光器可以是可调节的,而不是包括不同滤光器的集合。可以使用光栅来代替干涉滤光器。在实施例中,一个或更多个偏振器170(参见图9)可以被设置在源110与部分反射表面150之间以选择感兴趣的偏振。偏振器可以是可以调节的,而不是包括不同偏振器的集合。

如图7中所示出的,目标t被放置成使得衬底w垂直于物镜160的光学轴线o。因此,来自源110的辐射由部分反射表面150反射且经由物镜160聚焦至衬底w上的目标t上的照射斑s(参见图8)中。在实施例中,物镜160具有高数值孔径(na),期望地为至少0.9且至少0.95。浸没量测设备(使用相对高的折射率的流体,诸如水)甚至可以具有大于1的数值孔径。

成角度地偏离轴线0而聚焦至照射斑的照射射线170、172引起衍射射线174、176。应记住,这些射线仅仅是覆盖包括目标t的衬底区域的许多平行射线中的一条射线。照射斑内的每个元件位于量测设备的视场内。由于板130中的孔具有有限的宽度(为接纳有用量的辐射所必要的),故入射射线170、172实际上将占据一角度范围,并且衍射射线174、176将稍微散开。根据小目标的点扩散函数,每个衍射阶将在一角度范围上进一步散开,而不是如所示出的单条理想射线。

由衬底w上的目标衍射的至少0阶由物镜160收集,并且被返回引导通过部分反射表面150。光学元件180将衍射束的至少一部分提供至光学系统182,光学系统182使用零阶和/或一阶衍射束在传感器190(例如,ccd或cmos传感器)上形成目标t的衍射光谱(光瞳平面图像)。在实施例中,提供孔186以滤出某些衍射阶使得将特定衍射阶提供至传感器190。在实施例中,孔186允许大体上或主要仅零阶辐射到达传感器190。在实施例中,传感器190可以是二维检测器,使得可以测量衬底目标t的二维角散射光谱。传感器190可以是(例如)ccd或cmos传感器阵列,并且可以使用为(例如)每帧40毫秒的积分时间。传感器190可以用以测量在单个波长(或窄波长范围)下的被改变方向的辐射的强度、分别在多个波长下的被改变方向的辐射的强度,或在一波长范围上积分的被改变方向的辐射的强度。此外,传感器可以用以分别测量具有横向磁偏振和/或横向电偏振的辐射的强度,和/或横向磁偏振辐射与横向电偏振辐射之间的相位差。

可选地,光学元件180将衍射束的至少一部分提供至测量分支200以在传感器230(例如,ccd或cmos传感器)上形成衬底w上的目标的图像。测量分支200可以用于各种辅助功能,诸如聚焦量测设备(即,使衬底w能够与物镜160进行聚焦对准),和/或用于引言中提及的类型的暗场成像。

为了针对光栅的不同大小和形状提供自限定视场,在从源110至物镜160的路径上在透镜系统140内提供可以调整的场光阑300。场光阑300包含孔302且位于与目标t的平面共轭的平面中,使得照射斑变成孔302的图像。可以根据放大因子来缩放图像,或孔与照射斑的大小的关系可以是1∶1。为了使照射可以适应于这些不同类型的测量,孔板300可以包括围绕圆盘形成的数个孔图案,所述圆盘旋转以使期望的图案处于适当位置。替代地或另外,可以提供和调换一组板300,以实现相同的效应。另外或替代地,也可以使用可编程孔装置,诸如可变形反射镜阵列或透射空间光调制器。

典型地,目标将与其在平行于y轴或平行于x轴而延伸的周期性结构特征对准。关于目标的衍射行为,具有在平行于y轴的方向上延伸的特征的周期性结构具有在x方向上的周期性,而具有在平行于x轴的方向上延伸的特征的周期性结构具有在y方向上的周期性。为了测量在两个方向上的性能,通常提供两种类型的特征。虽然为了简单起见将参考线和空间,但周期性结构无需由线和空间形成。此外,每条线和/或线之间的空间可以是由较小的子结构形成的结构。另外,(例如在周期性结构包括支柱和/或通孔的情况下)周期性结构可以被形成为在两个维度上同时具有周期性。

图8图示典型的目标t的平面图,和图7的设备中的照射斑s的范围。为了获得没有来自周围结构的干涉的衍射光谱,在实施例中,目标t是大于照射斑s的宽度(例如,直径)的周期性结构(例如,光栅)。斑s的宽度可以小于目标的宽度和长度。换句话说,目标由照射“欠填充”,并且衍射信号基本上不含来自目标自身外部的产品特征等的任何信号。这简化了目标的数学重构,这是因是可以将目标视为无限的。在其它实施例中,如下文所描述的,目标可以不完全欠填充,和/或辐射斑相对于目标的未对准可能导致所述目标外部的特征影响信号。

图9示意性地描绘基于使用量测所获得的测量数据来进行目标图案30’的一个或更多个感兴趣的变量的值的确定的示例过程。由检测器190检测到的辐射提供用于目标30’的所测量的辐射分布108。

针对给定目标30’,可以使用(例如)数值麦克斯韦求解程序210来从参数化数学模型206计算/模拟辐射分布208。参数化数学模型206示出构成目标和与所述目标相关联的各种材料的示例层。参数化数学模型206可以包括用于处于考虑中的目标的部分的特征和层的变量中的一个或更多个变量,所述一个或更多个变量可以变化且被导出。如图9中所示出的,所述变量中的一个或更多个变量可以包括一个或更多个层的厚度t、一个或更多个特征的宽度w(例如,cd)、一个或更多个特征的高度h、一个或更多个特征的侧壁角α,和/或特征之间的相对位置(本文中被认为是重叠)。虽然图中未示出,但变量中的一个或更多个变量可以进一步包括但不限于:层中的一个或更多个层的折射率(例如,真折射率或复折射率、折射率张量等)、一个或更多个层的消光系数、一个或更多个层的吸收率、在显影期间的抗蚀剂损失、一个或更多个特征的基脚,和/或一个或更多个特征的线边缘粗糙度。根据图案化过程和/或其它测量过程的知识,可以将1-d周期性结构或2-d周期性结构的一个或更多个参数的一个或更多个值(诸如宽度、长度、形状或3-d轮廓特性的值)输入至重构过程。例如,变量的初始值可以是针对正被测量的目标的一个或更多个参数的那些预期值,诸如cd、节距等的值。

在一些情况下,可以将目标划分成单位单元的多个实例。在所述情况下,为了帮助容易地计算目标的辐射分布,可以将模型206设计为使用目标的结构的单位单元进行计算/模拟,其中重复单位单元作为横跨整个目标的实例。因此,模型206可以使用一个单位单元进行计算且复制结果以使用适当的边界条件拟合整个目标,以便确定所述目标的辐射分布。

除了在重构时计算辐射分布208以外或替代在重构时计算辐射分布208,也可以针对处于考虑中的目标部分的变量的多个变化来预计算多个辐射分布208以产生辐射分布库,以在重构时使用。

接着在212处比较所测量的辐射分布108与所计算的辐射分布208(例如,接近所述时间时进行计算或从库获得)以确定所测量的辐射分布108与所计算的辐射分布208之间的差。如果存在差,则可以使参数化数学模型206的变量中的一个或更多个变量的值变化,获得新的所计算的辐射分布208(例如,计算或从库获得)且将辐射分布208与所测量的辐射分布108进行比较直至在所测量的辐射分布108与辐射分布208之间存在足够的匹配。这时,参数化数学模型206的变量的值提供实际目标30’的几何形状的良好或最佳匹配。在实施例中,当所测量的辐射分布108与所计算的辐射分布208之间的差在容许阈值内时,存在足够的匹配。

在这些量测设备中,可以在测量操作期间提供衬底支撑件以保持衬底w。衬底支撑件可以在形式上与图1的衬底台wt相似或相同。在量测设备与光刻设备集成的示例中,衬底支撑件甚至可以是同一衬底台。可以提供粗定位器和精定位器以相对于测量光学系统准确地定位衬底。提供各种传感器和致动器(例如)以获取感兴趣的目标的位置,并且将感兴趣的目标带入至物镜下方的位置中。典型地将对整个衬底w上的不同部位处的目标进行许多测量。可以在x和y方向上移动衬底支撑件以获取不同的目标,并且可以在z方向上移动衬底支撑件以获得目标相对于光学系统的焦点的期望的位置。例如,当在实践中光学系统可以保持大体上静止(典型地在x和y方向上,但可能也在z方向上)且仅衬底移动时,方便地将操作考虑并描述为如同物镜被带入至相对于衬底的不同部位。如果衬底和光学系统的相对位置正确,则原则上无关紧要的是:衬底与光学系统中的哪个在真实世界中移动,或其两者都移动,或光学系统的一部分的组合(例如,在z方向和/或倾斜方向上)移动,其中光学系统的其余部分静止且衬底(例如,在x和y方向上,并且可选地也在z方向和/或倾斜方向上)移动。

在实施例中,目标的测量准确度和/或敏感度可以相对于提供至目标上的辐射束的一个或更多个属性而变化,所述属性例如是,辐射束的波长、辐射束的偏振、辐射束的强度分布(即,角度或空间强度分布)等。因此,可以选择期望地获得(例如)目标的良好测量准确度和/或敏感度的特定测量策略。

为了监测包括至少一个图案转印步骤(例如,光学光刻步骤)的图案化过程(例如,器件制造过程),检查被图案化的衬底且测量/确定被图案化的衬底的一个或更多个参数。例如,一个或更多个参数可以包括:形成在被图案化的衬底中或衬底上的连续层之间的重叠、(例如)形成在被图案化的衬底中或衬底上的特征的临界尺寸(cd)(例如,临界线宽)、光学光刻步骤的聚焦或聚焦误差、光学光刻步骤的剂量或剂量误差、光学光刻步骤的光学像差、放置误差(例如,边缘放置误差)等。可以对产品衬底自身的目标和/或对设置在衬底上的专用量测目标执行这种测量。可以在抗蚀剂显影后但在蚀刻前执行测量,或可以在蚀刻后执行测量。

存在用于对在图案化过程中形成的结构进行测量的各种技术,包括使用扫描电子显微镜、基于图像的测量工具和/或各种专用工具。如上文所论述的,专用量测工具的快速和非侵入性形式为辐射束被引导至衬底的表面上的目标上并测量散射(衍射/反射)束的性质的测量工具。通过评估由衬底散射的辐射的一个或更多个性质,可以确定衬底的一个或更多个性质。这可以被称为基于衍射的量测。这种基于衍射的量测的一个这样的应用是在目标内的特征不对称性的测量中。这种特征不对称性的测量可以用作(例如)重叠的量度,但其它应用也是已知的。例如,可以通过比较衍射光谱的相对部分(例如,比较周期性光栅的衍射光谱中的-1阶与+1阶)来测量不对称性。这种测量可以如以上所描述的那样来完成,并且如(例如)全文以引用方式并入本文中的美国专利申请公开出版物us2006-066855中所描述来完成。基于衍射的量测的另一应用是在目标内的特征宽度(cd)的测量中。这些技术可以使用上文关于图6至图9所描述的设备和方法。

现在,虽然这些技术有效,但期望提供导出目标内的特征不对称性(诸如重叠、cd不对称性、侧壁角不对称性等)的替代测量技术。这种技术可以有效地用于被专门设计量测目标或可能更显著用于直接确定器件图案上的特征不对称性。

参考图10,在重叠实施例的内容背景下描述这种测量技术的原理。在图10a中,示出目标t的几何对称单位单元。目标t可以仅仅包括单位单元的单个实体实例或可以包括单位单元的多个实体实例,如图10c中所示出的。

目标t可以是被专门设计的目标。在实施例中,目标用于划线。在实施例中,目标可以是管芯内目标,即,目标是在器件图案当中(且因此在划线之间)。在实施例中,目标可以具有与器件图案特征相当的特征宽度或节距。例如,目标特征宽度或节距可以小于或等于器件图案的最小特征大小或节距的300%、小于或等于器件图案的最小特征大小或节距的200%、小于或等于器件图案的最小特征大小或节距的150%,或小于或等于器件图案的最小特征大小或节距的100%。

目标t可以是器件结构。例如,目标t可以是存储器装置的一部分(存储器装置常常具有是几何对称的或可以是几何对称的一个或更多个结构,如以下进一步论述的)。

在实施例中,目标t或单位单元的实体实例可以具有小于或等于2400平方微米的面积、小于或等于2000平方微米的面积、小于或等于1500平方微米的面积、小于或等于1000平方微米的面积、小于或等于400平方微米的面积、小于或等于200平方微米的面积、小于或等于100平方微米的面积、小于或等于50平方微米的面积、小于或等于25平方微米的面积、小于或等于10平方微米的面积、小于或等于5平方微米的面积、小于或等于1平方微米的面积、小于或等于0.5平方微米的面积,或小于或等于0.1平方微米的面积。在实施例中,目标t或单位单元的实体实例具有平行于衬底的平面的横截面尺寸,该横截面尺寸小于或等于50微米、小于或等于30微米、小于或等于20微米、小于或等于15微米、小于或等于10微米、小于或等于5微米、小于或等于3微米、小于或等于1微米、小于或等于0.5微米、小于或等于0.2微米或小于或等于0.1微米。

在实施例中,目标t或单位单元的实体实例具有结构的节距,该结构的节距小于或等于5微米、小于或等于2微米、小于或等于1微米、小于或等于500nm、小于或等于400nm、小于或等于300nm、小于或等于200nm、小于或等于150nm、小于或等于100nm、小于或等于75nm、小于或等于50nm、小于或等于32nm、小于或等于22nm、小于或等于16nm、小于或等于10nm、小于或等于7nm或小于或等于5nm。

在实施例中,目标t具有单位单元的多个实体实例。因此,目标t典型地可以具有这里所列出的较大尺寸,而单位单元的实体实例将具有这里所列出的较小尺寸。在实施例中,目标t包括单位单元的50,000个或更多个实体实例、单位单元的25,000个或更多个实体实例、单位单元的15,000个或更多个实体实例、单位单元的10,000个或更多个实体实例、单位单元的5,000个或更多个实体实例、单位单元的1,000个或更多个实体实例、单位单元的500个或更多个实体实例、单位单元的200个或更多个实体实例、单位单元的100个或更多个实体实例、单位单元的50个或更多个实体实例,或单位单元的10个或更多个实体实例。

期望地,单位单元的所述实体实例或单位单元的所述多个实体实例共同地填充量测设备的束斑。在所述情况下,经测量结果基本上仅包括来自单位单元的实体实例(或其多个实例)的信息。在实施例中,束斑具有横截面宽度,该横截面宽度为50微米或更小、40微米或更小、30微米或更小、20微米或更小、15微米或更小、10微米或更小、5微米或更小或2微米或更小。

图10a中的单位单元包括实体地具现化或将实体地具现化在衬底上的至少两个结构。第一结构1000包括线且第二结构1005包括椭圆型形状。当然,第一结构1000和第二结构1005可以是与所描绘的结构不同的结构。

另外,在这个示例中,在第一结构1000与第二结构1005之间可能存在从其预期位置的相对移位,这是归因于其分别转印至衬底上从而具有重叠的误差。在这个示例中,第一结构1000相比于第二结构1005位于衬底上的较高层中。因此,在实施例中,可以在图案化过程的第一执行中在较低的第一层中产生第二结构1005,并且可以在图案化过程的第二执行中在较高的第二层中而不是在较低的第一层中产生第一结构1000。现在,没有必要使第一结构1000和第二结构1005位于不同层中。例如,在双重图案化过程(包括(例如)作为其部分的蚀刻过程)中,可以在同一层中产生第一结构1000和第二结构1005以形成基本上单个图案,但依据其在所述同一层内的相对放置仍可以存在“重叠”关注点。在这种单层示例中,第一结构1000和第二结构1005两者可以具有(例如)类似于针对第一结构1000的图10a中所示出的线的形式,但已经通过第一图案转印过程来提供于衬底上的第二结构1005的线可以与在第二图案转印过程中提供的结构1000的线交错。

显著地,单位单元具有或能够具有相对于轴或点的几何对称性。例如,图10a中的单位单元具有相对于(例如)轴线1010的反射对称性和相对于(例如)点1015的点/旋转对称性。类似地,可以看到的,图10c中的单位单元的实体实例(并且因此,单位单元的实体实例的组合)具有几何对称性。

在实施例中,单位单元具有针对某一特征的几何对称性(诸如重叠)。本文中的实施例聚焦于当单位单元几何对称时具有零重叠的所述单位单元。然而,作为替代,单位单元可以具有针对某一几何不对称性的零重叠。将接着使用适当偏移和计算以考虑当单位单元具有某一几何不对称性时具有零重叠的所述单位单元。相关地,单位单元应能够依赖于某特征值来在对称性上发生改变(例如,变得不对称,或变得进一步不对称,或从不对称情形变得对称)。

在图10a的示例中,单位单元具有针对零重叠的几何对称性(但无需为零重叠)。这由箭头1020和1025表示,其示出第一结构1000的线相对于第二结构1005的椭圆型形状均匀地对准(且所述均匀对准至少部分地使单位单元能够具有几何对称性,如图10a中所示出的)。因此,在这个示例中,当单位单元具有几何对称性时,存在零重叠。然而,当存在重叠的误差(例如,非零重叠)时,单位单元不再几何对称且按照限定,目标不再是几何对称的。

另外,在目标包括单位的多个实体实例的情况下,单位单元的所述实例被周期性地布置。在实施例中,单位单元的所述实例被布置成晶格形式。在实施例中,所述周期性布置具有在目标内的几何对称性。

因此,在这种技术中,如下文进一步所论述的,获得与感兴趣的特征不对称性(例如,非零重叠)相关的几何对称性的改变(例如,几何不对称性的改变,或另外的几何不对称性的改变,或从几何不对称性至几何对称性的改变)以能够确定特征不对称性(例如,非零重叠)的优点。

可以使用(例如)图7的量测设备、利用辐射来照射包括图10a的单位单元的实体实例的目标。可以(例如)通过检测器190测量由目标改变方向的辐射。在实施例中,测量被改变方向的辐射的光瞳,即,傅立叶变换平面。这种光瞳的示例测量被描绘为光瞳图像1030。虽然光瞳图像1030具有菱形类形状,但其无需具有这种形状。本文中的术语光瞳和光瞳平面包括其任何共轭物,除非内容背景另有要求(例如,在特定光学系统的光瞳平面正被识别的情况下)。光瞳图像1030实际上是依据被改变方向的辐射的光瞳的光学特性(在这种情况下为强度)而指定的图像。

出于方便起见,本文中的论述将聚焦于作为感兴趣的光学特性的强度。但本文中的技术可以供一个或更多个替代的或额外的光学特性(诸如相位和/或反射率)使用。

另外,出于方便起见,本文中的论述聚焦于检测和处理被改变方向的辐射的图像(且特别是光瞳图像)。然而,可以以与图像不同的方式测量和表示被改变方向的辐射的光学性质。例如,可以依据一个或更多个光谱(例如,作为波长的函数的强度)处理被改变方向的辐射。因此,被改变方向的辐射的所检测的图像可以被认为是被改变方向的辐射的光学表示的示例。因此,在光瞳平面图像的情况下,光瞳图像为光瞳表示的示例。

另外,被改变方向的辐射可以是偏振的或非偏振的。在实施例中,测量束辐射是偏振辐射。在实施例中,测量束辐射被线性地偏振。

在实施例中,光瞳表示主要或大体上属于从目标的被改变方向的辐射的一个衍射阶。例如,辐射可以是辐射的特定阶的80%或更多、85%或更多、90%或更多、95%或更多、98%或更多,或99%或更多。在实施例中,光瞳表示主要或大体上属于零阶被改变方向的辐射。可以(例如)在目标的节距、测量辐射的波长和(可选地)一个或更多个其它条件导致目标主要改变方向零阶时出现这种辐射(但可以存在一个或更多个更高阶的辐射)。在实施例中,大部分光瞳表示是零阶被改变方向的辐射。在实施例中,光瞳表示属于零辐射且分别具有一阶辐射,其可以接着被线性地组合(叠加)。图7中的孔186可以用以选择辐射的特定阶,例如零阶。

关于与第一结构1000和第二结构1005的几何对称单位单元相对应的光瞳图像1030,可以看到,所述光瞳图像内的强度分布是基本上对称的(例如,具有与几何结构所属的相同的对称性类型)。这通过从光瞳图像1030移除对称强度分布部分(这引起所导出的光瞳图像1035)来进一步确认。为了移除对称强度分布部分,特定光瞳图像像素(例如,像素)可以通过从所述特定光瞳图像像素下的强度减去对称定位的光瞳图像像素的强度而使对称强度分布部分被移除,并且反之亦然。在实施例中,所述像素可以对应于检测器(例如检测器190)的像素,但其无需如此;例如,光瞳图像像素可以是检测器的多个像素。在实施例中,像素强度被减去所横跨的对称点或对称轴对应于单位单元的对称点或对称轴。因此,例如,在考虑光瞳图像1030的情况下,对称性强度分布部分可以通过(例如)从所示出的所述特定像素下的强度ii减去来自对称定位像素(即,相对于轴线1032对称地定位)的强度ii’而被移除。因此,在对称强度部分被移除的情况下在特定像素下的强度si则为si=ii-ii’。可以针对光瞳图像的多个像素(例如,光瞳图像中的所有像素)重复这种强度。如在所导出的光瞳图像1035中所看到的,与对称单位单元相对应的强度分布是基本上完全对称的。因此,具有对称单位单元几何形状(且如果适用,具有所述单位单元的实例的某一周期性)的对称目标引起如通过量测设备测量时的对称光瞳响应。

现在参考图10b,关于图10a中描绘的单位单元来描绘重叠的误差的示例。在这种情况下,第一结构1000相对于第二结构1005在x方向上移位。具体地,以第一结构1000的线为中心的轴线1010在图10b中向右移位至轴线1045。因此,存在在x方向上的重叠1040的误差;即,x方向重叠误差。当然,第二结构1005可以相对于第一结构1000移位,或第二结构1005与第一结构1000两者可以相对于彼此移位。在任何情况下,结果都是x方向重叠误差。然而,如根据这种单位单元布置应了解的,第一结构1000与第二结构1005之间的在y方向上的纯粹相对移位将不改变这种单位单元的几何对称性。但在利用适当几何布置的情况下,在两个方向上或在单位单元的部分的不同组合之间的重叠可以改变对称性和也可以被确定,如下文进一步所论述的。

由于单位单元的实体配置从图10a中的单位单元的名义实体配置改变且所述改变由重叠1040的误差表示,故结果为所述单位单元已变得非几何对称。这可以通过具有不同长度的箭头1050和1055看到,其示出第二结构1005的椭圆型形状相对于第一结构1000的线被不均匀地定位。检验相对于光瞳图像1030的对称点或对称轴的对称性,即,在所述情况下,轴线1032现在被示出为轴线1034。

可以使用(例如)图7的量测设备利用辐射来照射图10b的单位单元的实体实例。可以(例如)通过检测器190记录被改变方向的辐射的光瞳图像。这种光瞳图像的示例被描绘为光瞳图像1060。光瞳图像1060实际上是强度的图像。虽然光瞳图像1060具有菱形类形状,但光瞳图像1060无需具有这种形状;光瞳图像1060可以是圆形形状或任何其它形状。此外,光瞳图像1060具有与光瞳图像1030大体上相同的轴线或坐标位置。即,在这种实施例中,图10a的单位单元中的对称轴1010和图10b的单位单元中的相同轴线与光瞳图像1030、1060的对称轴1032对准。

关于与第一结构1000和第二结构1005的非几何对称单位单元相对应的光瞳图像1060,在视觉上似乎为所述光瞳图像内的强度分布是基本上对称的。然而,在所述光瞳图像内存在不对称强度分布部分。这种不对称强度分布部分是归因于单位单元中的不对称性。此外,不对称强度分布的量值显著低于光瞳图像中的对称强度分布部分的量值。

因此,在实施例中,为了更有效地隔离不对称强度分布部分,可以从光瞳图像1060移除对称强度分布部分,这种情形引起所导出的光瞳图像1065。与获得所导出的光瞳图像1035的情况类似,特定光瞳图像像素(例如,像素)可以通过从所述特定光瞳图像像素下的强度减去对称定位的光瞳图像像素的强度而使对称强度分布部分被移除,并且反之亦然,如上文所论述的。因此,例如,在考虑光瞳图像1060的情况下,可以通过(例如)从所示出的所述特定像素下的强度ii减去来自对称定位像素(即,相对于轴线1032对称地定位)的强度ii’以产生si来移除对称性强度分布部分。可以针对光瞳图像的多个像素(例如,光瞳图像中的所有像素)重复这种方法。在图10a和图10b中,出于解释的目的而描绘si的整个所导出的光瞳图像。如应了解的,图10a或图10b的所导出的光瞳图像的一半与其另一半相同。因此,在实施例中,来自光瞳图像的仅一半的值可以用于本文中所论述的进一步处理,因此,用于本文中的进一步处理的所导出的图像光瞳可以是用于光瞳的si值的仅一半。

如在所导出的光瞳图像1065中看到的,使用不对称单位单元的实体实例测量的强度分布并不是对称的。如在区1075和1080中看到的,一旦移除对称强度分布部分,就可以看到不对称强度分布部分。如上文提及的,示出整个所导出的光瞳图像1065,因此,在两个一半上示出不对称强度分布部分(虽然其依据在其对应的一半中的量值和分布而彼此相等)。

因此,几何域中的不对称性对应于光瞳中的不对称性。因此,在实施例中,提供一种使用周期性目标的光学响应的方法,所述周期性目标拥有或能够具有在其单位单元的实体实例中的固有几何对称性,以确定与实体配置改变相对应的参数,所述实体配置改变造成所述单位单元的实体实例的几何对称性改变(例如,造成不对称性,或造成进一步不对称性,或导致不对称单位单元变得对称)。具体地,在实施例中,如由量测设备测量的光瞳中的重叠诱发的不对称性(或无重叠诱发的不对称性)可以用以确定重叠。即,光瞳不对称性用以测量单位单元的实体实例内和(因此)目标内的重叠。

目标t的几何域的对称性改变可以由于第一结构1000与第二结构1005之间从其预期定位的相对移位而产生。所述相对移位可以由于用以形成第一结构1000的图案化过程与用以形成第二结构1005的图案化过程之间的重叠误差而出现。

量测过程的准确度,例如确定感兴趣的参数(例如重叠、cd等)的值的准确度,可以依赖于用以在量测过程期间照射目标t的辐射斑的聚焦高度。如图11中示意性地所描绘的,聚焦高度可以被认为是测量辐射束的横截面腰部最窄的高度。在图11的示例中,与辐射斑302相对应的束在与形成在衬底w上的目标t的上表面齐平的高度处是最窄的。可以预期的是,这种高度将对应于最佳聚焦高度,但本发明人已认识到,可以通过将聚焦高度布置成处于不与目标t的上表面齐平的高度从而实现改善的量测性能。下文所描述的实施例利用这种认识来改善量测过程。

选择不与目标t的上表面齐平的聚焦高度的一个原因在于:目标t具有有限高度且目标t内的与感兴趣的参数相关的特征可以主要存在于目标t内的特定高度范围内。量测过程对感兴趣的参数的敏感度因此可以作为聚焦高度的函数而变化。这种效应在图12中针对感兴趣的参数为重叠的情况来示意性地描绘。在不存在其它因素的情况下,目标t的不同层中的特征的位置(指示重叠)之间的不匹配304可以通过将聚焦高度布置成与所述两个不匹配层之间的界面305接近而被更有效地取样。在这个示例中,这将有利于选择低于目标t的上表面的聚焦高度。

对于小目标t,例如管芯内目标t或包括器件结构的目标t(例如小于约10×10微米的目标t),辐射斑对目标t外部的区306进行取样。因此,信号受到来自区306中的结构散射的辐射污染。典型的情形示意性地描绘在图13(俯视图)和图14(侧视截面图)中。辐射斑302由包含辐射斑302的代表性比例的两个轮廓302a、302b表示。在这个示例中,内部轮廓302a包含约95%的辐射且外部轮廓302b包含约99%的辐射。因此,在这个示例中,当辐射斑302与目标t精确对准时,几乎99%的辐射射中目标t但相当大的比例仍对区306进行取样。辐射斑306对目标t外部的区306进行取样的范围将依赖于辐射斑302的对准和辐射斑302的聚焦高度两者。图15中示意性地描绘两种效应。轮廓302a’和302b’对应于其中辐射斑与目标t未对准(相对于目标t的中心307向左位移)的情况。所述未对准引起来自目标t外部的区306的信号的污染的显著增加。归因于与未对准相关联的不对称性,所述污染尤其促成信号的不对称分量。轮廓302a”和302b”对应于其中辐射斑与目标t未对准且散焦(聚焦高度相对于轮廓302a’和302b’的聚焦高度向上移位)的情况。未对准和散焦的组合引起来自目标t外部的区306的信号的更大的污染。

通常在量测过程应用于在使用中的目标t期间不可能实现精确对准和/或聚焦高度。本发明人已认识到,量测过程对对准和/或聚焦的误差的敏感度可以依赖于名义聚焦高度。在下文描述允许确定最佳聚焦高度的实施例。最佳聚焦高度可以使得例如通过减小量测过程对辐射斑302相对于目标t的对准误差和聚焦误差中的任一者或两者的敏感度,从而改善量测过程的平均准确度。最佳聚焦高度可以减少来自环绕目标t的区306中的结构的信号的污染。

图16示意性地描绘用于产生用于本公开的实施例中的测量数据310的方法。所述方法的起点和终点分别被标记为s和e。在步骤s1中,将量测过程应用于衬底w上的目标t。量测过程包括利用测量辐射照射目标t且检测由目标t改变方向的辐射。所述方法包括将量测过程多次应用于目标t。因此,多次量测目标t。在实施例中,在辐射斑302相对于目标t的不同名义聚焦高度和/或不同对准下执行步骤s1中的量测过程的多次应用的至少一个子集。在实施例中,在辐射斑与目标t名义上准确地对准(例如与目标t的中心对准)的情况下多次执行量测过程,包括在不同聚焦高度处执行多次和/或在相同聚焦高度处执行多次。在一个实施例中,可以在不同聚焦高度和相同名义对准下执行多次应用。例如,可以使用单独的对准摄影机来测量对准。在实施例中,在远离名义精确对准的多个位置处执行量测过程,其中在每个位置处在多个不同聚焦高度处进行测量。在实施例中,多个位置包括在名义精确对准周围的多个不同半径(例如处于约0.5与1微米之间的半径)中的每个半径上的多个位置。

量测过程的多次应用可以经由控制回路来实现,其中决策步骤s2用以确定是否已完成量测过程的应用的规划序列。决策步骤s2使所述方法循环通过量测过程设定调整步骤s3和步骤s1中的量测过程的应用,直至已完成步骤s1中的量测过程的所有所需的应用。量测过程设定调整步骤s3可以包括调整量测过程使得例如辐射斑的名义聚焦高度和/或对准被调整。量测过程设定调整步骤s3可以被进一步配置以调整衬底w的旋转位置。在实施例中,针对一个或更多个(或全部)聚焦和/或对准设定中的每个聚焦和/或对准设定,在彼此相隔180度的两个角位置处执行量测过程。这种方法减小对来自量测设备中(例如光学元件和/或传感器中)的不对称性的检测到的光瞳表示的不对称性的影响。这种不对称性可以被称作传感器不对称性。当已完成步骤s1中的量测过程的所有所需的应用时,决策步骤s2将方法引导至步骤s4。步骤s4包括输出测量数据310。可以储存在步骤s4中输出的测量数据310或将所述测量数据直接传输至其它数据处理设备。

在步骤s1中的量测过程的每次应用中,可以将辐射引导至目标t上且检测被改变方向的辐射,如上文参考图7至图10c所描述的。辐射的检测到的表示可以包括光瞳平面中的辐射的检测到的光瞳表示,如上文参考图7至图10c所描述的。光学特性可以包括辐射强度或相位。在光学特性包括辐射强度的情况下,检测到的光瞳表示可以被称作光瞳图像。参考图7所描述的类型的量测设备可以例如用以执行量测过程。辐射的检测到的表示可以主要包括零阶辐射,如上文所描述的。这在目标t包括诸如器件结构之类的高分辨率目标的情况下可能是特别期望的。因此,在实施例中,目标t包括器件结构。在其它实施例中,目标t包括在包括器件结构的衬底管芯内的非器件结构。

检测到的光瞳表示可以包括多个分量,诸如对称分量和不对称分量,如上文参考图10a和图10b所论述的。这些分量中的任一分量或两者可以用于本公开的实施例中。因此,可以使用表示不对称分量的所导出的光瞳图像,诸如图10a或图10b的所导出的光瞳图像1035或1065,或可以使用表示对称分量的相应的所导出的光瞳图像,或可以使用这两者。在实施例中,不对称分量和对称分量共同包含存在于检测到的光瞳表示中的所有信息。在实施例中,不对称分量是通过对检测到的光瞳表示进行反对称化来获得的。在实施例中,检测到的光瞳表示的反对称化包括移除检测到的光瞳表示的对称分量。在实施例中,移除检测到的光瞳表示的对称分量,如上文参考图10a和图10b所描述的。考虑光瞳图像1030,可以通过例如从所示出的所述特定像素处的强度ii减去来自对称定位的像素(即相对于轴线1032对称地定位)的强度ii’来移除对称分量。因此,在对称强度部分被移除的情况下在特定像素下的强度si则为si=ii-ii’。可以针对检测到的光瞳表示的多个像素,例如检测到的光瞳表示中的所有像素来重复这种操作,以获得检测到的光瞳表示的不对称分量。

图17示意性地描绘确定量测过程的最佳聚焦高度的方法。所述方法的起点和终点分别被标记为s和e。在步骤s11中,所述方法包括获得测量数据310。测量数据310是从对目标t多次应用量测过程而导出的。在实施例中,使用以上参考图16所描述的方法来产生测量数据310。测量数据310因此可以包括针对量测过程的多次应用中的每次应用,从目标t改变方向的辐射的光学特性的检测到的光瞳表示的至少一个分量(例如不对称分量和对称分量中的任一分量或两者)。

在步骤s12中,分析测量数据310以确定量测过程的最佳聚焦。在一些实施例中,最佳聚焦高度使得:与当量测过程在名义上被配置成在与目标t的上表面齐平的高度处聚焦辐射斑302时相比,当量测过程在名义上被配置成在最佳聚焦高度处聚焦辐射斑时,减小检测到的光瞳表示对偏离辐射斑302与目标t之间的最佳聚焦高度和精确对准中的任一者或两者的偏差的敏感度。在步骤s13中,将因此导出的最佳聚焦输出为输出最佳聚焦312。即使在量测过程中存在辐射斑302的聚焦和/或对准的随机误差的情况下,输出最佳聚焦312可以用以控制后续量测过程使得更可靠或准确地获得目标t的感兴趣的参数的值。感兴趣的参数可以包括以下各项中的一项或更多项:目标中的不对称性的量度、重叠和临界尺寸。输出最佳聚焦312可以用以提供控制与聚焦高度相关的量测设备的一个或更多个操作参数的控制信号。控制信号可以例如被馈送至图7的量测设备中的处理器pu。

在实施例中,步骤s12包括针对量测过程的应用中的每次应用,通过数学展开式的一组系数来表示检测到的光瞳表示的分量(例如不对称分量和对称分量中的任一分量或两者)。在实施例中,所述一组系数被截断。因此,获得多组系数,包括与量测过程的每次应用相对应的至少一组系数。步骤s12接着还包括通过分析作为聚焦高度的函数的所述系数中的一个或更多个系数的变化来确定最佳聚焦高度。使用数学展开式的系数的作为聚焦高度的函数的变化会提供识别最佳聚焦高度的计算上高效和/或准确的方式。

在实施例中,检测到的光瞳表示的分量包括光瞳平面中的光学特性的空间分布。例如,所述分量可以包括光瞳图像的分量。光瞳图像的分量可以是光瞳图像的对称(例如对称化)分量。光瞳图像的分量可以是光瞳图像的不对称分量(例如具有对称地相对的点的反对称化分量,例如量值相等且符号相反的点对称的相对的点或镜象对称相对的点)。在分量是对称分量的实施例中,所述分量可以相对于光瞳平面中的参考点是点对称的,或相对于光瞳平面中的参考线是镜象对称的。在分量是不对称分量的实施例中,所述不对称分量可以相对于光瞳平面中的参考点是非点对称的(例如反对称的)或相对于光瞳平面中的参考线是非镜象对称的(例如反对称的)。

在检测到的光瞳表示包括光瞳平面中的光学特性的空间分布的实施例中,数学展开式可以由一组空间二维基函数来限定。在实施例中,基函数被选择为提供平滑的低频径向和相位行为。在实施例中,基函数被选择为与检测到的光瞳表示的对称分量和不对称分量的对称性/不对称性性质兼容(例如以便适合于表示点对称/不对称和/或线对称/不对称轮廓)。在实施例中,基函数包括泽尼克多项式。由于泽尼克多项式本质上包括点对称和非点对称的基函数,故泽尼克多项式是有效的。因此,在期望表示检测到的光瞳表示的对称分量的情况下,可以使用点对称基函数,和/或在期望表示检测到的光瞳表示的不对称分量的情况下,可以使用非点对称基函数。基底组可以通过舍弃较高频率基函数而截断。在实施例中,根据条纹索引对泽尼克多项式进行编号且执行截断使得保留至少前25个基函数。在其它实施例中,可以基于测量数据310使用主成分分析(pca)或独立成分分析(ica)来导出基函数。在这些实施例中,可以保留至少前10个系数的方式来截断基函数组。使用pca或ica允许基函数更高效地表示测量数据310。在一些实施例中,根据基函数如何高效地表示感兴趣的参数来选择基函数(例如使得基函数提供相对于感兴趣的参数的高敏感度)。

在实施例中,针对量测过程的应用中的每次应用,通过数学展开式的第一组系数来表示检测到的光瞳表示的对称分量。在这个实施例的示例中,最佳聚焦高度的确定包括:针对所述第一组系数的系数中的一个或更多个系数中的每个系数,识别所述系数的作为聚焦高度的函数的变化的极值。本发明人已发现,在系数随着聚焦高度的变化中存在明确限定的极值。通过选择被定位成处于或接近于极值的聚焦高度,系数相对于聚焦高度的曲线的梯度趋向于比在其它聚焦高度处更小。如果在等于以这种方式导出的最佳聚焦高度的名义聚焦高度处执行量测过程,则得到的检测到的光瞳表示的至少对称分量将对在量测过程期间出现的聚焦误差较不敏感。

图18中示意性地描绘原理,图18示出针对辐射斑302相对于目标t的三个不同名义对准(“p0”、“p1”和“p2”)的检测到的光瞳表示的对称分量的数学展开式的示例系数(“ci(sym)”)相对于聚焦高度(“df”)的曲线。每个名义对准对应于辐射斑302在平行于衬底w的平面(该平面可以被称作xy平面)中的不同位置。这些曲线可以从测量数据310的相应的部分(即,表示在不同名义对准中的每个名义对准下经由多个不同的名义聚焦高度执行量测过程的结果的部分)获得。每个曲线具有明确限定的极值(在这种情况下是最大值)。可以通过寻找系数相对于聚焦高度的导数为零的位置来计算极值的位置。相比于对检测到的光瞳表示的不对称分量,辐射斑的未对准对检测到的光瞳表示的对称分量的影响明显较小。与不同的名义对准相对应的曲线的极值因此相对接近地定位在一起。在实施例中,针对名义精确对准(名义对准“p0”)获得的极值的聚焦高度bfi用作针对每个不同系数的代表性极值。

在实施例中,分别针对多个系数获得极值。这相对于仅使用单个系数的情况,可以改善所确定的的最佳聚焦高度的品质。在实施例中,最佳聚焦高度的确定包括:获得针对不同系数获得的聚焦高度的平均值。在实施例中,使用加权平均值。在这个实施例的示例中,针对每个聚焦高度的加权是基于在相应极值下相应系数的作为聚焦高度的函数的变化的曲率(例如系数相对于聚焦高度的二阶导数的量值)。较大量值的曲率被给予较大加权。较大量值表示较陡的且由此较可靠的极值。在下文以数学方式描述示例程序。

用于获得检测到的光瞳表示的对称分量的最佳聚焦高度bfsym可以被书写为如下加权平均值:

其中指数i表示正被使用的数学展开式的每个不同系数(例如在正表示检测到的光瞳表示的对称分量的情况下,对应于对称基函数的系数),求和是针对正被使用的所有基函数(“对称模式(symmodes)”)进行的,bfi表示针对每个系数获得的最佳聚焦高度,并且(curvaturei)表示对于每个系数的归一化加权。

可以将加权归一化如下:

其中

如上文所描述的,可以通过参考曲率的量值来导出加权。在一个示例实施中,将权重计算如下:

在实施例中,针对量测过程的应用中的每次应用,通过数学展开式的第二组系数来表示检测到的光瞳表示的不对称分量。量测过程的应用包括在辐射斑302相对于目标t的不同名义对准下的应用。在这个实施例的示例中,最佳聚焦高度的确定包括:针对第二组系数中的系数中的一个或更多个系数中的每个系数,识别在所述系数的作为辐射斑在平行于衬底的平面中的位置的函数的变化被最小化时的聚焦高度。通过选择引起系数随着位置改变的变化最小的聚焦高度,量测过程对辐射斑302与目标t的对准时的误差(该误差对于小目标t而言难以避免)的敏感度被减小。这种方法在测量对检测到的光瞳表示的不对称分量做出主要或完全影响的感兴趣的参数(例如重叠)的情况下是特别有益的,否则所述不对称分量特别易受由辐射斑302与目标t之间的未对准引入的误差影响,如上文参考图15所论述的。

图19中示意性地描绘原理,图19示出针对辐射斑302相对于目标t的五个不同的名义对准(“p0”、“p1”、“p2”、“p3”和“p4”)的检测到的光瞳表示的不对称分量的数学展开式的示例系数(“ci(asym)”)相对于聚焦高度(“df”)的曲线。未对准的相对幅值大致如下:p0的未对准<p3的未对准<p1的未对准<p2的未对准<p4的未对准。每个名义对准对应于辐射斑302在平行于衬底w的平面中的不同位置。不同于图18中所示出的曲线,除了表示名义精确对准的“p0”曲线之外,每个系数的作为聚焦高度的函数的变化并不具有明确限定的最小值。在实施例中,观测到所述变化针对有限的未对准主要是线性的。如图19中所示出的,发现针对不同的未对准的曲线在小范围的聚焦高度内相交(或接近相交)。在实施例中,这种小范围的聚焦高度内的聚焦高度被识别为针对每个系数的最佳聚焦高度bfi。当在bfi下在名义聚焦高度处执行量测过程时,系数对量测过程中的对准误差的敏感度因此相对于对名义聚焦高度的其它选择被减小。

在实施例中,分别针对多个系数获得最佳聚焦高度。这相对于仅使用单个系数以获得最佳聚焦高度的情况,可以改善所确定的总体最佳聚焦高度的品质。在实施例中,最佳聚焦高度的确定包括:获得针对不同系数获得的最佳聚焦高度的平均值。在实施例中,使用加权平均值。在这个实施例的示例中,针对每个聚焦高度的加权基于在从辐射斑的精确对准的代表性名义偏差下相应系数的作为聚焦高度的函数的变化的梯度(以避免其中梯度可能非常小或为零的名义精确对准的情况)。在实施例中,较大量值的梯度被给予较大加权。以这种方式,更多地考虑依据聚焦高度急剧变化的系数,并且因此可以提供最佳聚焦的位置的更可靠的指标。在下文以数学方式描述示例程序。

用于获得检测到的光瞳表示的不对称分量的最佳聚焦高度bfasym可以被书写为如下加权平均值:

其中指数i表示正被使用的数学展开式的每个不同系数(例如在正表示检测到的光瞳表示的不对称分量的情况下,对应于不对称基函数的系数),求和是针对正被使用的所有基函数(“不对称模式(asymmodes)”)进行的,bfi表示针对每个系数获得的最佳聚焦高度,并且(slopei)表示针对每个系数的归一化加权。

可以将加权归一化如下:

其中

如上文所描述的,可以通过参考梯度或“斜率”的量值来导出加权或权重。在一个示例实施中,将权重计算如下:

在从检测到的光瞳表示的对称分量确定最佳聚焦高度的情况下,所述最佳聚焦高度可以在后续量测过程中使用,以从检测到的光瞳表示的对称分量确定感兴趣的参数(例如cd)的值。

在从检测到的光瞳表示的不对称分量确定最佳聚焦高度的情况下,所述最佳聚焦高度可以在后续量测过程中使用,以从检测到的光瞳表示的不对称分量确定感兴趣的参数(例如重叠)的值。

在从检测到的光瞳表示的对称分量确定第一最佳聚焦高度且从检测到的光瞳表示的不对称分量确定第二最佳聚焦高度的情况下,可以将第一最佳聚焦高度和第二最佳聚焦高度进行组合(例如通过采取第一最佳聚焦高度和第二最佳聚焦高度的平均值)以获得总体最佳聚焦高度。因此获得的所述总体最佳聚焦高度将在检测到的光瞳表示的对称分量和不对称分量两者将用于确定一个或更多个感兴趣的参数(例如重叠和cd)的值的情形下提供良好的性能。

在实施例中,最佳聚焦高度的确定包括:识别不对称性低于阈值的检测到的光瞳表示的面积的比例被最大化的聚焦高度。在实施例中,不对称性由参数k表示如下:

其中|不对称光瞳|是检测到的光瞳表示的不对称分量中的像素的量值,并且|对称光瞳|是检测到的光瞳表示的对称分量中的相应的像素的量值。在实施例中,针对检测到的光瞳表示中的多个像素(可选地所有像素)评估k。不对称性低于阈值的检测到的光瞳表示的面积是通过对k低于阈值的像素的数目进行计数来确定的。在实施例中,通过参考检测到的光瞳表示中的k的最小值来限定阈值。在实施例中,阈值等于比k的最小值高的k的最小值的预定百分比。在实施例中,所述预定百分比介于5%与25%之间、可选地介于5%与15%之间,可选地介于8%与12%之间(例如约10%)。

在实施例中,量测过程的多次应用包括:针对一组不同聚焦高度中的每个聚焦高度,在辐射斑相对于目标t的不同的对准下的一组应用。在实施例中,通过在相同的名义对准(例如与目标t的中心的精确名义对准)下重复执行量测过程来实现不同的对准。在每次测量之间执行衬底更换操作。对准误差将导致在稍微不同的实际对准下执行在相同的名义对准下的重复测量,由此提供期望的多个不同的对准。最佳聚焦高度的确定包括:识别在检测到的光瞳表示的至少一个分量在不同对准下在相应的组应用期间的像素级方差被最小化时的聚焦高度。在实施例中,确定每像素的方差。通过获得像素方差的平均值来确定检测到的光瞳表示的平均方差。将平均方差是最小的聚焦高度确定为最佳聚焦高度。

在实施例中,量测过程的多次应用包括:针对一组不同的聚焦高度中的每个聚焦高度,在辐射斑相对于目标的不同的对准下的一组应用。使用与量测过程的每次应用相对应的测量数据来获得目标的感兴趣的参数(例如重叠或cd)的值。最佳聚焦高度的确定包括:识别在不同对准下在相应组应用上的感兴趣的参数的所获得的值的方差被最小化时的聚焦高度。

在一些实施例中,在最佳聚焦高度的确定之前处理从量测过程的每次应用获得的检测到的光瞳表示的至少一个分量,以至少部分地移除来自目标的感兴趣的参数的贡献。在用于确定最佳聚焦高度的处理中减少来自感兴趣的参数的贡献可以改善移除与感兴趣的参数正交的误差的效率。

根据上述实施例获得的最佳聚焦高度的任何组合可以使用任何适合的方法被组合以提供改善的最佳聚焦高度,该方法包括在具有或不具有不同影响的加权的情况下求平均值。

参考图20,示出计算机系统3200。计算机系统3200包括用于通信信息的总线3202或其它通信机构,和与总线3202耦接以用于处理信息的处理器3204(或多个处理器3204和3205)。计算机系统3200也包括耦接至总线3202以用于储存将要由处理器3204执行的信息和指令的主存储器3206,诸如随机存取存储器(ram)或其它动态储存装置。主存储器3206也可以用于在将要由处理器3204执行的指令的执行期间储存暂时性变量或其它中间信息。计算机系统3200进一步包括耦接至总线3202以用于储存用于处理器3204的静态信息和指令的只读存储器(rom)3208或其它静态储存装置。提供诸如磁盘或光盘之类的储存装置3210,并且所述储存装置耦接至总线3202以用于储存信息和指令。

计算机系统3200可以经由总线3202耦接至用于向计算机用户显示信息的显示器3212,诸如阴极射线管(crt)或平板显示器或触控面板显示器。包括字母数字按键和其它按键的输入装置3214耦接至总线3202以用于将信息和命令选择发送至处理器3204。另一类型的使用者输入装置为用于将方向信息和命令选择发送至处理器3204且用于控制显示器3212上的光标移动的光标控制件3216,诸如鼠标、轨迹球或光标方向键。这种输入装置典型地具有在两个轴(第一轴(例如,x)和第二轴(例如,y))上的两个自由度,两个自由度允许所述装置指定在平面中的位置。触控面板(屏幕)显示器也可以用作输入装置。

计算机系统3200可以适合于响应于处理器3204执行主存储器3206中包含的一个或更多个指令的一个或更多个序列而用作本文中的处理单元。可以将这些指令从另一计算机可读介质(诸如储存装置3210)读取至主存储器3206中。主存储器3206中包含的指令序列的执行导致处理器3204执行本文中所描述的过程。呈多处理布置的一个或更多个处理器也可以用以执行主存储器3206中包含的指令序列。在替代实施例中,可以代替或结合软件指令来使用硬连线电路。因此,实施例不限于硬件电路与软件的任何特定组合。

如本文中所使用的术语“计算机可读介质”是指参与将指令提供至处理器3204以供执行的任何介质。这种介质可以采取许多形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质包括(例如)光盘或磁盘,诸如储存装置3210。易失性介质包括动态存储器,诸如主存储器3206。传输介质包括同轴缆线、铜线和光纤,光纤包括包含总线3202的电线。传输介质也可以采取声波或光波的形式,诸如在射频(rf)和红外线(ir)数据通信期间产生的声波或光波。计算机可读介质的常见形式包括(例如)软性磁盘、软磁盘、硬盘、磁带、任何其它磁性介质、cd-rom、dvd、任何其它光学介质、打孔卡、纸带、具有孔的图案的任何其它实体介质、ram、prom和eprom、flash-eprom、任何其它存储器芯片或卡匣、如下文所描述的载波,或计算机可以读取的任何其它介质。

各种形式的计算机可读介质可以用于将一个或更多个指令的一个或更多个序列承载至处理器3204以供执行。例如,最初可以将所述指令承载在远程计算机的磁盘上。远程计算机可以将指令加载至其动态存储器中,并且使用调制解调器经由电话线来发送指令。在计算机系统3200本地的调制解调器可以接收电话线上的数据,并且使用红外线传输器将数据转换成红外线信号。耦接至总线3202的红外线检测器可以接收红外线信号中所承载的数据且将数据放置在总线3202上。总线3202将数据承载至主存储器3206,处理器3204从所述主存储器3206获取和执行指令。由主存储器3206接收的指令可以可选地在由处理器3204执行之前或之后储存在储存装置3210上。

计算机系统3200也可以包括耦接至总线3202的通信接口3218。通信接口3218提供对网络链路3220的双向数据通信耦合,网络链路3220连接至局域网络3222。例如,通信接口3218可以是综合业务数字网(isdn)卡或调制解调器以提供对相应的类型的电话线的数据通信连接。作为另一示例,通信接口3218可以是局域网络(lan)卡以提供对兼容lan的数据通信连接。也可以实施无线链路。在任何这种实施中,通信接口3218发送且接收承载表示各种类型的信息的数字数据流的电信号、电磁信号或光学信号。

网络链路3220典型地经由一个或更多个网络将数据通信提供至其它数据器件。例如,网络链路3220可以经由局域网络3222而向主计算机3224或向由因特网服务提供商(isp)3226操作的数据装置提供连接。isp3226又经由全球范围的数据包通信网络(现在通常被称作“因特网”)3228来提供数据通信服务。局域网络3222和因特网3228两者都使用承载数字数据流的电信号、电磁信号或光学信号。经由各种网络的信号和在网络链路3220上且经由通信接口3218的信号为输送信息的示例性载波形式,所述信号将数字数据承载至计算机系统3200和从计算机系统3200承载数字数据。

计算机系统3200可以经由网络、网络链路3220和通信接口3218发送消息和接收包括程序代码的数据。在因特网的示例中,服务器3230可能经由因特网3228、isp3226、局域网络3222和通信接口3218来传输用于应用程序的所请求的代码。根据一个或更多个实施例,一个这样的被下载的应用程序提供如(例如)本文中所公开的方法。所接收的代码可以在其被接收时由处理器3204执行,和/或储存在储存装置3210或其它非易失性储存器中以供稍后执行。以这种方式,计算机系统3200可以获得呈载波的形式的应用代码。

本公开的实施例可采取如下形式:计算机程序,所述计算机程序包含描述如本文中所公开的方法的机械可读指令的一个或更多个序列;或数据储存介质(例如,半导体存储器、磁盘或光盘),数据储存介质中储存有这种计算机程序。另外,可以以两个或更多个计算机程序来体现机械可读指令。所述两个或更多个计算机程序可以储存在一个或更多个不同的存储器和/或数据储存介质上。

本文中所描述的任何控制器可以在一个或更多个计算机程序由位于光刻设备的至少一个部件内的一个或更多个计算机处理器读取时各自地或以组合方式而是可操作的。所述控制器可以各自地或以组合方式具有用于接收、处理和发送信号的任何合适配置。一个或更多个处理器被配置成与所述控制器中的至少一个控制器通信。例如,每个控制器可以包括用于执行包括用于上文所描述的方法的机械可读指令的计算机程序的一个或更多个处理器。控制器可以包括用于储存这些计算机程序的数据储存介质,和/或用以接纳这种介质的硬件。因此,所述(等)控制器可以根据一个或更多个计算机程序的机械可读指令来操作。

虽然在本文中可以具体地参考量测设备在ic制造中的使用,但应理解,本文中所描述的量测设备和过程可以具有其它应用,诸如制造集成光学系统、用于磁畴存储器的引导和检测图案、平板显示器、液晶显示器(lcd)、薄膜磁头等。本领域技术人员将了解,在这些替代应用的背景下,可以认为本文中对术语“晶片”或“管芯”的任何使用分别与更上位的术语“衬底”或“目标部分”同义。可以在曝光之前或之后在(例如)轨道(典型地将抗蚀剂层施加至衬底且显影曝光后的抗蚀剂的工具)、量测工具和/或一个或更多个各种其它工具中处理本文中提及的衬底。在适用情况下,可以将本文中的公开内容应用于这些和其它的衬底处理工具。此外,可以将衬底处理多于一次,例如,以便产生多层ic,使得本文中所使用的术语衬底也可以指已经包含多个处理后的层的衬底。

虽然在上文可能已经具体地参考在光学光刻的背景下的本公开的实施例的使用,但应理解,本公开可以用于其它应用(例如,纳米压印光刻术)中,并且在背景允许的情况下不限于光学光刻术。在纳米压印光刻术的情况下,图案形成装置是压印模板或模具。

本文中所使用的术语“辐射”和“束”涵盖所有类型的电磁辐射,包括紫外线(uv)辐射(例如,具有为或为约365nm、355nm、248nm、193nm、157nm或126nm的波长)和极紫外线(euv)辐射(例如,具有在5nm至20nm的范围内的波长);以及粒子束(诸如离子束或电子束)。

术语“透镜”在背景允许时可以指各种类型的光学部件中的任一类型的光学部件或其组合,包括折射型、反射型、磁性型、电磁型和静电型光学部件。

本文中对超越或超过阈值的参考可以包括这样的事物:该事物具有低于特定值或低于或等于特定值的值、该事物具有高于特定值或高于或等于特定值的值、该事物基于(例如)参数而(通过(例如)分类)排名高于或低于其它事物,等等。

本文中对校正误差或误差的校正的参考包括消除误差或将误差减小至容许范围内。

如本文中所使用的术语“优化”和“最佳化”是指或意味着调整量测过程、光刻设备、图案化过程、参数等的过程,使得量测、光刻或图案化处理的结果和/或过程具有较期望的特性,诸如改善的准确度,例如设计布局在衬底上的投影的较高的准确度、较大的过程窗等。因此,如本文中所使用的术语“优化”和“最佳化”是指或意味着识别用于一个或更多个变量的一个或更多个值的过程,所述一个或更多个值与用于那些一个或更多个变量的一个或更多个值的初始集合相比提供了在至少一个相关度量方面的改善,例如局部最佳。应该相应地解释“最佳”、“最优”和其它相关术语。在实施例中,可以迭代地应用优化步骤,以提供一个或更多个度量的进一步改善。

在系统的优化过程中,可以将所述系统或过程的品质因数(figureofmerit)表示为成本函数。优化过程归结为寻找优化(例如,最小化或最大化)成本函数的系统或过程的参数(设计变量)的集合的过程。成本函数可以依赖于优化的目标而具有任何合适形式。例如,成本函数可以是系统或过程的某些特性(评估点)相对于这些特性的预期值(例如,理想值)的偏差的加权均方根(rms);成本函数也可以是这些偏差的最大值(即,最差偏差)。本文中的术语“评估点”应被广泛地解释为包括系统或过程的任何特性。归因于系统或过程的实施的适用性,系统的设计变量可以被限制至有限的范围和/或可以是相互依赖的。在光刻设备或图案化过程的情况下,约束常常与硬件的物理性质和特性(诸如可调节范围和/或图案形成装置的可制造性设计规则)相关联,并且评估点可以包括衬底上的抗蚀剂图像上的实体点,以及诸如剂量和聚焦之类的非实体特性。

虽然上文已描述本公开的特定实施例,但应了解,可以与所描述的方式不同的其它方式来实践本公开。例如,本公开可以采取如下形式:计算机程序,所述计算机程序包含描述如上文所公开的方法的机械可读指令的一个或更多个序列;或数据储存介质(例如,半导体存储器、磁盘或光盘),在数据储存介质中储存有这种计算机程序。

在框图中,所图示的部件被描绘为离散的功能区块,但实施例不限于本文中所描述的功能性如所图示的那样来组织的系统。由所述部件中的每个部件提供的功能性可以由软件或硬件模块提供,所述模块以与目前所描绘的方式不同的方式组织,例如,可以混和、结合、复写、分解、分配(例如,在数据中心内或地理上),或以另外的不同的方式组织这种软件或硬件。本文中所描述的功能性可以由执行储存在有形的非暂时性机械可读介质上的程序代码的一个或更多个计算机的一个或更多个处理器提供。在一些情况下,第三方内容分发网络可以主控在网络上传送的一些或全部信息,在这种情况下,在一定程度上信息(例如,内容)被认为被供应或以其它方式提供,所述信息可以通过发送指令以从内容分发网络获取该信息而被提供。

除非另有具体陈述,否则如根据论述明白的,应了解,在整个本说明书中,利用诸如“处理”、“计算”、“估算”、“确定”等的术语的论述是指诸如专用计算机或类似的专用电子处理/计算装置之类的具体设备的动作或过程。

读者应了解,本申请描述若干发明。申请人已将这些发明分组成单个文件,而不是将那些发明分离成多个独立的专利申请,这是因为所述发明的相关主题可以在应用过程中有助于经济发展。但不应合并这些发明的不同的优点和方面。在一些情况下,实施例解决本文中提及的所有缺陷,但应理解,所述发明是独立地有用的,并且一些实施例仅解决这些问题的子集或提供其它没有提及的益处,检阅本公开的本领域技术人员将明白所述益处。归因于成本约束,目前可以不主张本文中所公开的一些发明,并且可以在稍后申请(诸如继续申请或通过修改本技术方案)中主张所述发明。类似地,归因于空间约束,本发明文件的和章节都不应被视为包含所有这些发明的全面清单或这些发明的所有方面。

应理解,描述和附图并不意图将本发明限于所公开的特定形式,而正相反,本发明意图涵盖属于如由所附权利要求限定的本发明的精神和范围的所有修改、等效物和替代方案。

鉴于本说明书,本领域技术人员将明白本发明的各种方面的修改和替代实施例。因此,本说明书和附图应被理解为仅是说明性的且是出于教导本领域技术人员进行本发明的一般方式的目的。应理解,本文中所示出和描述的本发明的形式应被视为实施例的示例。元件和材料可以替代本文中所图示和描述的元件和材料,部分和过程可以被反转或被省略,可以独立地利用某些特征,并且可以对实施例或实施例的特征进行组合,所有这些对于本领域技术人员在获得本发明的本说明书的益处之后将是清楚的。可以在不背离如在以下权利要求中所描述的本发明的精神和范围的情况下对本文中描述的元件作出改变。本文中使用的标题仅为了实现组织性目的,并且不意味着用以限制本说明书的范围。

在以下编号方面中进一步描述根据本发明的另外的实施例:

1.一种确定用于量测过程的最佳聚焦高度的方法,包括:

从所述量测过程至目标的多次应用获得测量数据,其中:

所述量测过程的每次应用包括利用辐射斑来照射所述目标并检测由所述目标改变方向的辐射;

所述量测过程的所述应用包括在不同名义聚焦高度处的应用;

针对所述量测过程的每次应用,所述测量数据包含被改变方向的辐射的光学特性在光瞳平面中的检测到的光瞳表示的至少一个分量;并且

所述方法包括使用所获得的测量数据来确定所述量测过程的最佳聚焦高度。

2.根据方面1所述的方法,其中所述最佳聚焦高度使得:与当所述量测过程在名义上被配置成在与所述目标的与上表面齐平的高度处聚焦所述辐射斑时相比,当所述量测过程在名义上被配置成在所述最佳聚焦高度处聚焦所述辐射斑时,减小所述检测到的光瞳表示对偏离所述辐射斑与所述目标之间的精确对准和所述最佳聚焦高度中的任一者或两者的偏差的敏感度。

3.根据方面1或2所述的方法,包括:

针对所述量测过程的所述应用中的每次应用,通过数学展开式的一组系数来表示所述检测到的光瞳表示的分量;以及

通过分析所述系数中的一个或更多个系数的作为聚焦高度的函数的变化来确定所述最佳聚焦高度。

4.根据方面3所述的方法,其中所述检测到的光瞳表示的所述分量包括所述光学特性在所述光瞳平面中的空间分布,并且所述数学展开式由一组二维基函数来限定。

5.根据方面3或4所述的方法,其中:

针对所述量测过程的所述应用中的每次应用,通过所述数学展开式的第一组系数来表示所述检测到的光瞳表示的对称分量;以及且

所述最佳聚焦高度的所述确定包括:针对所述第一组系数的所述系数中的一个或更多个系数中的每个系数,识别所述系数的作为聚焦高度的函数的变化的极值。

6.根据方面5所述的方法,其中所述最佳聚焦高度的所述确定包括:获得聚焦高度的与所识别的极值相对应的加权平均值。

7.根据方面6所述的方法,其中针对每个聚焦高度的加权基于作为聚焦高度的函数的相应系数的所述变化在相应极值下的曲率,其中较大加权被给予较大量值的曲率。

8.根据方面5至7中任一项所述的方法,其中所述对称分量相对于所述光瞳平面中的参考点是点对称的,或相对于所述光瞳平面中的参考线是镜象对称的。

9.根据方面3至8中任一项所述的方法,其中:

针对所述量测过程的所述应用中的每次应用,通过所述数学展开式的第二组系数来表示所述检测到的光瞳表示的不对称分量;

所述量测过程的所述应用包括在所述辐射斑相对于所述目标的不同名义对准下的应用;并且

所述最佳聚焦高度的所述确定包括:针对所述第二组系数的所述系数中的一个或更多个系数中的每个系数,识别在所述系数的作为所述辐射斑在平行于所述衬底的平面中的位置的函数的变化被最小化时的聚焦高度。

10.根据方面9所述的方法,其中所述最佳聚焦高度的所述确定包括:获得所识别的聚焦高度的加权平均值。

11.根据方面10所述的方法,其中针对每个所识别的聚焦高度的加权基于在从所述辐射斑与所述目标的精确对准的代表性名义偏差下作为聚焦高度的函数的所述相应系数的所述变化的梯度,其中较大加权被给予较大量值的梯度。

12.根据方面9至11中任一项所述的方法,其中所述不对称分量相对于所述光瞳平面中的参考点是非点对称的,或相对于所述光瞳平面中的参考线是非镜象对称的。

13.根据任一前述方面所述的方法,其中所述最佳聚焦高度的所述确定包括:识别所述检测到的光瞳表示的其中不对称性低于阈值的面积的比例被最大化的聚焦高度。

14.根据任一前述方面所述的方法,其中:

所述量测过程的所述多次应用包括:针对一组不同聚焦高度中的每个聚焦高度,在所述辐射斑相对于所述目标的不同对准下的一组应用;以及

所述最佳聚焦高度的所述确定包括:识别在所述检测到的光瞳表示的至少一个分量在不同对准下在相应的组应用期间的像素级方差被最小化时的聚焦高度。

15.根据任一前述方面所述的方法,其中在所述最佳聚焦高度的所述确定之前处理从所述量测过程的每次应用获得的所述检测到的光瞳表示的所述至少一个分量,以至少部分地移除来自所述目标的感兴趣的参数的贡献。

16.根据任一前述方面所述的方法,其中:

所述量测过程的所述多次应用包括:针对一组不同聚焦高度中的每个聚焦高度,在所述辐射斑相对于所述目标的不同对准下的一组应用;

使用与所述量测过程的每次应用相对应的所述测量数据来获得所述目标的感兴趣的参数的值;以及

所述最佳聚焦高度的所述确定包括:识别在所述感兴趣的参数的所获得的值在不同对准下在相应组的应用中的方差被最小化时的聚焦高度。

17.一种量测过程,包括:

使用根据方面1至16中任一项所述的方法来确定所述量测过程的最佳聚焦高度;

在所确定的最佳聚焦高度处利用辐射斑来照射目标并检测由所述目标改变方向的辐射,以提供所述被改变方向的辐射的光学特性在光瞳平面中的检测到的光瞳表示。

18.根据方面17所述的量测过程,包括处理所述检测到的光瞳表示的至少一个分量以获得所述目标的感兴趣的参数的值。

19.根据方面18所述的量测过程,其中所述感兴趣的参数包括以下各项中的一项或更多项:所述目标中的不对称性的测度、重叠和临界尺寸。

20.一种计算机程序产品,包括其上记录有指令的计算机非暂时性可读介质,所述指令在由计算机执行时实施根据方面1至19中任一项所述的方法。

21.一种系统,包括:

计算机系统;和

非暂时性计算机可读储存介质,所述非暂时性计算机可读储存介质被配置成储存机械可读指令,其中所述机械可读指令在被执行时导致所述计算机系统执行根据方面1至19中任一项所述的方法。

22.一种用于测量衬底上的目标的量测设备,所述量测设备被配置成执行根据方面1至19中任一项所述的方法。

23.一种系统,包括:

量测设备,所述量测设备被配置成将辐射束提供至衬底上并检测由所述衬底上的目标改变方向的辐射;和

根据方面20所述的计算机程序产品。

24.根据方面23所述的系统,还包括光刻设备,所述光刻设备包括:支撑结构,所述支撑结构被配置成保持用以调制辐射束的图案形成装置;和投影光学系统,所述投影光学系统被配置成将调制后的辐射束投影至辐射敏感衬底上,其中所述光刻设备被配置成基于使用所述量测设备和所述计算机程序产品获得的信息来控制所述光刻设备的设定。

如在整个本申请中所使用的,词语“可以”用于许可性意义(即,意味着可能)而不是强制性意义(即,意味着必须)来使用。词语“包括”、“含有”和“包含”等意味着包括(但不限于)。如在整个本申请中所使用的,单数形式“(a/an/the”包括多个参照物,除非上下文另有明确地指示。因此,例如,对“一个”元件或“单个”元件的参考包括两个或更多个元件的组合,虽然会针对一个或更多个元件使用其它术语和短语,诸如“一个或更多个”。除非另有指示,否则术语“或”是非独占式的,即,涵盖“和”与“或”两者。描述条件关系的术语,例如,“响应于x,而y”、“在x后,即y”、“如果x,则y”、“当x时,y”等涵盖因果关系,其中前提是必要的因果条件,前提是充分的因果条件,或前提是结果的影响因果条件,例如,“在条件y获得后,即出现状态x”对于“仅在y后,才出现x”和“在y和z后,即出现x”是通用的。这些条件关系不限于即刻遵循前提而获得的结果,这是由于一些结果可能被延迟,并且在条件陈述中,前提与其结果相关联,例如,前提与出现结果的可能性相关。除非另外指示,否则多个属性或功能被映射至多个对象(例如,执行步骤a、b、c和d的一个或更多个处理器)的陈述涵盖所有这些属性或功能被映射至所有这些对象和属性或功能的子集被映射至属性或功能的子集两者(例如,所有处理器各自执行步骤a至d,并且其中处理器1执行步骤a,处理器2执行步骤b和步骤c的一部分,并且处理器3执行步骤c的一部分和步骤d的情况)。另外,除非另有指示,否则一个值或动作是“基于”另一条件或值的陈述涵盖条件或值是唯一因子的情况、和条件或值是多个因子中的一个因子的情况两者。除非另外规定,否则某一集合的“每个”实例均具有某一性质的陈述不应被理解为排除较大集合的一些另外相同或相似的构件并不具有所述性质的情况,即,每个没有必意味着每一个。

在某些美国专利、美国专利申请或其它材料(例如论文)已以引用方式并入的情况内,这些美国专利、美国专利申请和其它材料的文字仅在这种材料与本文中所阐述的陈述和附图之间不存在冲突的情况下被并入。在存在这种冲突的情况下,这种以引用方式并入的美国专利、美国专利申请和其它材料中的任何这样的冲突并不具体地以引用方式并入本文中。

以上的描述意图为说明性,而不是限制性的。因此,本领域技术人员将明白,可以在不背离下文所阐明的权利要求的范围的情况下对所描述的本公开进行修改。

当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1