测试低功率系统中的状态保留逻辑的制作方法

文档序号:6154784阅读:130来源:国知局
专利名称:测试低功率系统中的状态保留逻辑的制作方法
技术领域
本发明通常涉及集成电路(IC)且更特定来说涉及低功率IC系统。
背景技术
具有多个功率域的ic设计变得越来越普遍,因为可通过暂时给芯片中不需要为
活动的区域(所谓的域)断电来减少功率消耗。此类设计具有一个或一个以上可切换 功率域且可给这些域断电以消除其中的静态及动态功率耗散两者。为确保域功率返回 到已知状态,可切换域可包含状态保留单元(例如,包含用于在功率变化期间保存状 态值的保留元件的电路元件)。通常,状态保留单元含有特别触发器或锁存器以在切
断单元的主电力供应时保留所述单元的状态。例如,参见第6,775,180、 7,091,766、 7,123,068、 7,164,301、 7,183,825及7,138,842号美国专利,所述专利中的每一者的全 文均以引用方式并入本文中。
在制造测试期间必须测试状态保留单元(在一些背景中也称作状态保留功率选通 或SRPG单元)以确保其功能正确。SRPG单元必须能够在电力关断时将数据保留到 其封闭域,且一旦电力再导通,必须是可观察到保留的数据。在此背景中,当周围电 压低于域的操作电压时,认为所述域被断开,以使得针对欲断开的域,周围电压不必 处于0V。
但当前由自动测试图案产生(ATPG)工具所产生的测试通常不以SRPG单元的 保留能力为目标。通常,这些测试仅以芯片中的结构缺陷(净固定逻辑0值等)为目 标,而不尝试测试逻辑的功能行为,包含(例如)含有SRPG单元的功率域的循环(例 如,关断及再导通)以检查所述单元是否保留状态。例如,参见第7,065,724美国专利, 所述专利的全文以引用方式并入本文中。
因此,需要使用'功能'方法测试SRPG单元,所述'功能'方法除将涉及仅针 对结构缺陷进行测试之外还将涉及测试SRPG的状态保留能力。更一般来说,需要改 善对具有用于在与ic相关的功率变化期间保存值的保留元件的IC的测试。

发明内容
在本发明的一个实施例中,测试集成电路(IC)的方法包含将数据序列加载到 保持数据值的电路元件链中,其中将至少一些电路元件的输出连接到邻近电路元件的 输入,因此值在用于加载值的链输入与用于卸载值的链输出之间依序通过所述链,且
6第一电路元件(例如,电路元件中的任一者)包含用于在与IC相关的功率变化期间保 存值的保留元件。所述方法进一步包含将来自数据序列的值保存在保留元件中;及 存取所述保留元件以验证来自数据序列的所述保存的值的准确性。
根据此实施例的一个方面,存取所述保留元件可包含使用所述保留元件来恢复用 于第一电路元件的值;及从所述电路元件链卸载值。
根据另一个方面,所述方法可进一步包含在存取所述保留元件之前将所述IC的 一部分转换为断电模式,其中所述IC的所述部分包含所述保留元件。
根据另一个方面,所述数据序列可以是第一数据序列且所述方法可进一步包含 在将所述值保存在保留元件中之后且在存取所述保留元件之前将第二数据序列加载到 所述链中,其中所述第二数据序列包含用于所述保留元件的不同于所述第一数据序列 的对应值的值。另外,关于此方面,第一及第二序列中的每一者均可包含大致一致的 值以用于减少对应于所述方法的功率消耗。
根据另一个方面,所述数据序列可以是第一数据序列且所述方法可进一步包含 在存取所述保留元件以验证来自第一数据序列的所述保存的值的准确性之后,将第二 数据序列加载到所述链中,其中所述第二数据序列包含用于所述保留元件的不同于第 一数据序列的所述保存的值的值;将来自所述第二数据序列的不同值保存在所述保留 元件中;及存取所述保留元件以验证来自第二数据序列的所述保存的不同值的准确性。 另外,关于此方面,第一及第二序列中的每一者均可包含大致一致的值以用于减少对 应于所述方法的功率消耗。
根据另一个方面,所述保留元件可包含用于存储值的触发器或锁存器,及不相 依于与所述IC相关的功率变化的电源。
根据另一个方面,将数据序列加载到电路元件链中可包含针对至少一个电路元件 的设定操作或重设操作。即,除了在链输入处加载值之外,可通过设定操作(例如, 设定为值1)或重设操作(例如,重设为值0)直接对一个或一个以上电路元件进行加 载。
根据另一个方面,所述链中的多个电路元件可各自包含用于在与所述IC相关的
功率变化期间保存值的保留元件,且所述方法可进一步包含将来自数据序列的值保 存在所述保留元件中;及存取所述保留元件以验证来自数据序列的所述保存的值的准 确性。另外,关于此方面,以上相对于单个保留元件所述的特征可应用于多个保留元 件。
额外的实施例涉及一种用于执行上述方法中的任一者的设备,其中所述设备包含 用于执行与所述方法相关的指令的计算机。例如,所述计算机可包含用于执行所述指 令中的至少一些指令的具有存储器的处理器。另外地或另一选择为,所述计算机可包 含用于执行所述指令中的至少一些指令的电路或其它专门硬件。额外的实施例还涉及 存储(例如,有形地体现)用于与计算机一起执行上述方法中的任一者的计算机程序 的计算机可读媒体。
7善对具有用于在与IC相关的功率变化期间保存 值的保留元件的IC的测试。


图1显示实例性状态保留单元(或SRPG单元)。
图2显示包含多个电路元件的实例性IC设计,所述多个电路元件包含如图1中 所图解说明的状态保留单元。
图3显示用于根据本发明实施例测试保留单元的方法。
图4显示用于根据本发明实施例测试保留单元的另一方法。
图5显示用于根据本发明实施例测试保留单元的另一方法。
具体实施例方式
图l显示包含两个触发器或"flop"(功能触发器A 1004及保留触发器B 1006)、 两个多路复用器(MUX1及MUX2)及两个反相器(INV1及INV2)的实例性状态保 留单元(或SRPG单元)1002。多路复用器MUX1控制触发器A是从功能路径(通过 管脚Data)还是从扫描链中的先前触发器(通过管脚Scan—In)获得数据。当管脚 Scan—Enable处于逻辑0值时,触发器A在功能路径(Data-Q)上且当Scan_Enable处 于逻辑1值时,触发器A在扫描路径(Scan—In-Q)上。Restore—data管脚通常将处于 逻辑1,除非需要使触发器B中的值在保留单元外部为可见。
触发器B 1006是保留触发器(或保留元件)且打算当切断到保留单元的主电力 供应时保持状态。 一旦通过功能管脚Data或者通过扫描管脚Scan一In,用初始状态加 载触发器A 1004,给Save一data管脚通脉冲以确保保留触发器加载有相同状态。在保 存操作之后,可关断父域的电力。当恢复电力时,触发器A可回到未知状态。因此, 需要Restore—data管脚处于低值以使得可经由Q管脚在下游逻辑处观察到所存储的状 态。
现有方法通过执行针对结构缺陷的测试产生及将单元视为芯片内的任何其它逻 辑来测试保留单元1002。结构缺陷的一些实例是触发器B 1006上的管脚QB错误地连 接到接地轨,MUX2的输出管脚连接到电力轨等。典型的结构测试方法将是使图1中 的触发器A 1004成为扫描链的一部分同时将触发器B 1006视为非扫描触发器。然后, 使用通用故障模型(如固定故障及转换故障)来估计缺陷的覆盖范围。例如,早先提 及的制造缺陷将类似于管脚QB具有固定0故障且MUX2的输出管脚具有固定1故障。 这些与制造缺陷相关的故障也称作静态故障,而涉及定时延迟的故障称作动态故障。 例如,参见美国专利5,546,408,所述专利的全文以引用方式并入本文中。
但完全覆盖测试保留单元1002时的静态故障不会保证其正确操作。可能的情况 是即使不存在静态缺陷, 一旦对单元1002进行减电且接着恢复,恢复能力可能不正确地工作。类似考虑同样应用于动态故障。例如,可能的情况是来自关断及导通电力格 网的噪声可致使保留逻辑丢失状态值。
图2显示包含多个电路元件的实例性IC (集成电路)2002,所述多个电路元件包 含各自包含保留元件的SRPG触发器2004及一般触发器2006 (例如,不具有保留元 件的常规触发器)。
图2中的设计被分割成三个功率域(PD1、 PD2、 PD3) 2008。功率域是在正常操 作期间使用相同电力供应且可同时接通或断开的设计中的实例集。所述设计具有三个 功率模式(PM1、 PM2、 PM3),其中功率模式是其中每一功率域以特定额定条件操 作的设计的静态状态。例如,在以下实例中的功率模式PM2中,功率域PD1断开, 同时域PD2及PD3接通。还显示所述实例中的SRPG触发器2004,且在设计中其分 布在两个扫描链之中第一扫描链2010 (SIl-SOl)及第二扫描链2012 (SI2-S02)。 还包含四个多路复用器2014以用于从系统的其余部分绕过给其断电的功率域(例如, 用于确保预测性)。例如,当功率域PD2关断时,两个最右边的多路复用器2014可 用来绕过扫描链2010、 2012中的此功率域。
在此实例中,PD1包含四个SRPG触发器,PD2包含两个SRPG触发器且PD3 包含六个SRPG触发器。在这些功率域中的任一者中,可任意地将SRPG触发器标示 为第一 SRPG、第二 SRPG等。注意,此处及其它处使用词语"第一"及"第二"仅 用于标示目的且不打算表示任何特定空间或时间排序。此外,标示"第一"元件并不 暗示存在"第二"元件。
用于SRPG2004的测试的产生涉及跨越IC 2002的功率模式的转换。为测试功率 域(例如,域PD1)内的SRPG单元,首先在含有所述域处于通电状态的功率模式(例 如,模式PM1, PD1导通)期间必须用初始值加载(例如,在输入管脚SIl、 SI2处扫 描输入的数据)SRPG。然后,通过转换到其中所述域处于其关断状态的功率模式(例 如,模式PM2, PD1关断)给所述域断电。此转换可涉及用户或其它系统要求所规定 的多个时钟循环或等待时间。例如,可要求IC 2002在转换之前处于新的功率模式中 特定时间周期。最后,系统转换回到初始功率模式或其中所关注域回到通电状态的模 式(例如,模式PM1, PD1导通)。然后,卸载(例如,在输出管脚SOl、 S02处扫 描输出)所保存的SRPG值且与先前扫描输入的值进行比较。
图3显示根据本发明实施例用于测试IC 2002中的SRPG触发器2004的实例性方 法3002。所述方法针对一组功率域(DU2008内存在的SRPG 2004,其中这些域在处于 功率模式PMx时通电且在处于功率模式PMy时断电。
在方法3002的第一步骤3004中,进入使域PU 2008通电的功率模式PMx。在 下一步骤3006中,扫描输入已知值到含有SRPG触发器的扫描链2010、 2012中。在 下一步骤3008中,执行减电序列(转换到使(Di》关断的功率模式PMy)。此步骤3008 包含隔离导通域与关断域、执行对加载到SRPG单元中的值的保留保存及减电域(Di)。 注意,隔离导通域是任选的且通常包含从可切换功率域边界上的管脚消除不可预测值
9(例如,通过在扫描链中在功率域的退出点处使用值设定元件,图2中未显示)。 在下一步骤3010中,减电操作可包含其中断电或另一选择为其中发生一些功率 循环或功率变化的时间周期。在下一步骤3012中,执行加电序列(例如,转换回到 PMx或使PU导通的功率模式)。此步骤3012包含加电域pi)、执行对保存在SRPG 单元中的值的恢复及去激活隔离逻辑(例如,在功率域的退出点处,图2中未显示)。 在下一步骤3014中,卸载扫描链2010、 2012以确保SRPG触发器2004能够保留所保 存的值。在此测试中通常忽略一般触发器2006的值,但是如果期望其保持可预测值, 也可对其进行检查。
以上方法3002仅指示在产生针对SRPG 2004的测试中将涉及的逻辑步骤。取决 于保留元件设计类型,如保留保存、恢复等的中间步骤可更复杂。例如, 一些设计类 型不具有保存信号(例如,图1中的Save—data),因此去除'保留保存,步骤(例如, 作为减电序列3008的一部分)或者用等效步骤代替。此外, 一些设计类型可不具有任 何用于与"保留保存"及"恢复"相关的功能的清晰控制信号。
注意,可以此方式测试SRPG2004,只要其值可传递到可用数据值序列加载及卸 载的电路元件链或自其传递。在一些操作设定中,此可能需要将数据值传递到为电路 元件的一部分的保留元件或自其传递数据值的额外步骤。同样,在一些操作设定中, 可通过设定操作(设定为值1)或重设操作(重设为值0)(通常通过特定来说针对这 些操作的管脚)直接将值加载到电路元件(例如,SRPG2004或常规触发器2006)中。
通常,由于SRPG单元2004必须能够保留0值及1值两者,因此至少两种模式 用于域内的所有SRPG的保留能力。可需要更多模式来测试整个设计中的SRPG。图4 显示根据本发明实施例的方法4002,其中使用两种12位模式(例如,如更概括描述 的方法3002的扫描输入步骤3006中)。加载大部分为0的第一 12位长扫描链模式 4004,后面是状态保留、功率循环及卸载(例如,如图3中)。然后,加载大部分为 1的第二 12位长扫描链4006,后面是状态保留、功率循环及卸载。在比较所卸载的数 据与所加载的值时检测到的任何错误将指示保留逻辑有问题。在扫描卸载过程期间, 通常将仅针对链中为SRPG单元的那些位进行比较。可忽略链的其它位中的值,因为 在完成加电操作之后其可处于不确定状态。
扫描链模式4004、 4006的三个方面是值得注意的。第一,针对每一 SRPG单元 测试0值及1值两者,因为所述模式具有用于每一位置的互补值。第二,通过在模式 中包含一些变化(例如,不同的0或1),扫描链模式针对与扫描链模式中的转换相 关的错误进行测试。第三,每一序列具有大致一致的值(例如,大部分为O或大部分 为l),以使得在扫描测试中遇到相对少的转换且减少功率消耗。
可将模式4004、 4006中的每一者描述为低功率扫描链测试。例如,第一模式4004 不仅允许SRPG测试,而且'0110'位的存在还允许贯穿扫描链位的所有可能转换。 现有扫描链测试模式通常由'0110'的重复串组成,从而导致看起来像'011001100110' 的模式且可致使过渡功率消耗。然而,当功能触发器1004加电到与保留触发器1006相同的状态时,此方法4002 可能检测不到保留逻辑中的故障。图5显示根据本发明实施例的方法5002,其中加载 两个额外的12位模式。加载大部分为0的第一12位长扫描链模式5004,后面是状态 保留及功率循环。然后,加载大部分为1的互补模式5006,后面是恢复保留值及卸载 扫描链。然后,加载大部分为1的第二 12位长扫描链模式5008 (此处,与以上互补 模式5006相同),后面是状态保留及功率循环。然后,如在测试的第一部分中,加载 大部分为0的互补模式5010 (此处,与以上模式5004相同),后面是恢复保留值及 卸载扫描链。
期望此方法5002 (其可适用于其中SRPG单元1002包含可不相依于保留触发器 1006进行加载的功能触发器1004的情况)检测先前所述方法4002可能错过的错误。 取决于操作设定的要求,可期望对方法4002、 5002两者的修改。例如,用户输入可用 来控制所使用的模式的数目及每一模式内的变化程度(例如,输入串的重复数目)。 另外,如果每一功能触发器具有重设或设定管脚,那么可使用此管脚代替加载两个额 外的模式5006、 5010,尽管此通常将意指所有功能触发器将被重设或设定为相同值。
其它操作考虑可涉及电力格网上的应力。例如,在大系统中恢复所保留的状态期 间,当所有保留单元同时恢复时,可能不合需要地对电力格网产生应力。为避免此问 题,可缓冲或菊链链接恢复信号(例如,在图1中的Restore—data管脚处)以使得并 非所有单元同时恢复。
尽管上述功率循环方法3002、 4002、 5002并不直接关注检测SRPG单元1002内 的结构故障,但是也可检测出芯片中的一些结构故障。例如,如果保留触发器1004 上的管脚QB由于制造缺陷而固定在逻辑O值,那么其可通过自动测试图案产生器所 产生的结构测试检测出。但此缺陷也可通过这些功率循环方法检测出,因为所述缺陷 将防止保留触发器1004中所保留的逻辑1值可在保留单元1002的Q管脚处观察到。 在一些操作设定中,用于测试状态保留逻辑的稳固测试方法可包含通过使用ATPG产 生的模式对SRPG进行结构测试以捕获重大缺陷,后面是应用基于功率循环的测试以 确保保留功能性的适当操作。
额外的实施例涉及用于执行上述方法中的任一者的设备,其中所述设备包含用于 执行与所述方法相关的计算机指令的计算机。在此背景中,计算机可以是通用计算机, 包含(例如)处理器、存储器、存储装置及输入/输出装置(例如,键盘、显示器、磁 盘驱动器、因特网连接等)。然而,计算机可包含用于执行所述方法中的一些方面或 全部方面的电路或其它专门硬件。在一些操作设定中,可将设备配置为包含一个或一 个以上单元的系统,其中的每一单元经配置以在软件、硬件或其某一组合中执行所述 方法的一些方面。
可将所述方法的结果的至少一些值保存在存储器(例如,RAM(随机存取存储器)) 或者永久存储装置(例如,硬盘系统)中以用于以后使用。例如,可保存所加载及卸 载的模式以用于以后对IC的评估。另一选择为,可根据操作设定的要求保存一些导数或和形式的结果(例如,个别或多个测试的结果)以用于以后使用。
可将所述方法的结果的至少一些值保存在存储器(例如,RAM(随机存取存储器))
或者永久存储装置(例如,硬盘系统)以用于以后使用。例如,可直接保存来自比较
所加载及卸载的模式的结果以用于评估IC。另一选择为,可根据操作设定要求保存一 些导数或和形式的结果(例如,多个测试的结果、原始加载及卸载的串等)。
额外的实施例还涉及存储(例如,有形地体现)用于依靠计算机执行上述方法中 的任一者的计算机程序的计算机可读媒体。例如,可以通用编程语言(例如,C、 C++) 或一些专门的专用语言写入计算机程序。可以一些有用格式(例如,二进制、ASCII) 将计算机程序存储为经编码文件。
尽管上文仅详细描述本发明的某些实例性实施例,但所属领域的技术人员将易于 了解可在本质上不背离本发明新颖教示内容及优点的前提下对所述实例性实施例做众 多修改。例如,以上所揭示的实施例的方面可组和为其它组和以形成额外的实施例。 因此,打算将所有此类修改包含在本发明范围内。
1权利要求
1、一种测试集成电路(IC)的方法,其包括将数据序列加载到保持数据值的电路元件链中,其中将至少一些电路元件的输出连接到邻近电路元件的输入,因此值在用于加载值的链输入与用于卸载值的链输出之间依序通过所述链,且第一电路元件包含用于在与所述IC相关的功率变化期间保存值的保留元件;将来自所述数据序列的值保存在所述保留元件中;及存取所述保留元件以验证来自所述数据序列的所述保存的值的准确性。
2、 如权利要求1所述的方法,其中存取所述保留元件包含 使用所述保留元件来恢复用于所述第一电路元件的值;及 从所述电路元件链卸载值。
3、 如权利要求1所述的方法,其进一步包括在存取所述保留元件之前将所述IC的一部分转换为断电模式,其中所述IC的所 述部分包含所述保留元件。
4、 如权利要求1所述的方法,其中所述数据序列是第一数据序列且所述方法进一步包括在将所述值保存在所述保留元件中之后且在存取所述保留元件之前,将第二数据 序列加载到所述链中,其中所述第二数据序列包含用于所述保留元件的不同于所述第 一数据序列的对应值的值。
5、 如权利要求4所述的方法,其中所述第一及第二序列中的每一者包含大致一致的值以用于减少对应于所述方法 的功率消耗。
6、 如权利要求1所述的方法,其中所述数据序列是第一数据序列且所述方法进 一步包括-在存取所述保留元件以验证来自所述第一数据序列的所述保存的值的所述准确 性之后,将第二数据序列加载到所述链中,其中所述第二数据序列包含用于所述保留 元件的不同于所述第一数据序列的所述保存的值的值;将来自所述第二数据序列的所述不同值保存在所述保留元件中;及存取所述保留元件以验证来自所述第二数据序列的所述保存的不同值的准确性。
7、 如权利要求6所述的方法,其中所述第一及第二序列中的每一者包含大致一致的值以用于减少对应于所述方法 的功率消耗。
8、 如权利要求1所述的方法,其中所述保留元件包含用于存储值的触发器或 锁存器,及不相依于与所述IC相关的所述功率变化的电源。
9、 如权利要求1所述的方法,其中将所述数据序列加载到所述电路元件链中包含针对至少一个电路元件的设定操作或重设操作。
10、 如权利要求l所述的方法,其中多个所述电路元件各自包含用于在与所述IC相关的功率变化期间保存值的保留元件,且所述方法进一步包括将来自所述数据序列的值保存在所述保留元件中;及存取所述保留元件以验证来自所述数据序列的所述保存的值的准确性。
11、 一种用于测试集成电路(ic)的设备,所述设备包括用于执行计算机指令的计算机,其中所述计算机包含用于执行以下操作的计算机指令 将数据序列加载到保持数据值的电路元件链中,其中将至少一些电路元件的输出连接到邻近电路元件的输入,因此值在用于加载 值的链输入与用于卸载值的链输出之间依序通过所述链,且第一电路元件包含用于在与所述ic相关的功率变化期间保存值的保留元件;将来自所述数据序列的值保存在所述保留元件中;及 存取所述保留元件以验证来自所述数据序列的所述保存的值的准确性。
12、 如权利要求11所述的设备,其中所述计算机包含用于执行所述计算机指令 中的至少一些指令的具有存储器的处理器。
13、 如权利要求11所述的设备,其中所述计算机包含用于执行所述计算机指令 中的至少一些指令的电路。
14、 如权利要求11所述的设备,其中所述计算机进一步包含用于执行以下操作 的计算机指令在存取所述保留元件之前将所述IC的一部分转换为断电模式,其中所述IC的所述部分包含所述保留元件。
15、 如权利要求11所述的设备,其中所述数据序列是第一数据序列且所述计算 机进一步包含用于执行以下操作的计算机指令在将所述值保存在所述保留元件中之后且在存取所述保留元件之前,将第二数据 序列加载到所述链中,其中所述第二数据序列包含用于所述保留元件的不同于所述第 一数据序列的对应值的值。
16、 如权利要求11所述的设备,其中所述数据序列是第一数据序列且所述计算 机进一步包含用于执行以下操作的计算机指令在存取所述保留元件以验证来自所述第一数据序列的所述保存的值的所述准确 性之后将第二数据序列加载到所述链中,其中所述第二数据序列包含用于所述保留元 件的不同于所述第一数据序列的所述保存的值的值;将来自所述第二数据序列的所述不同值保存在所述保留元件中;及存取所述保留元件以验证来自所述第二数据序列的所述保存的不同值的准确性。
17、 一种存储用于测试集成电路(IC)的计算机程序的计算机可读媒体,其中所述计算机程序包含用于执行以下操作的指令将数据序列加载到保持数据值的电路元件链中,其中将至少一些电路元件的输出连接到邻近电路元件的输入,因此值在用于加载 值的链输入与用于卸载值的链输出之间依序通过所述链,且第一电路元件包含用于在与所述ic相关的功率变化期间保存值的保留元件;将来自所述数据序列的值保存在所述保留元件中;及 存取所述保留元件以验证来自所述数据序列的所述保存的值的准确性。
18、 如权利要求17所述的计算机可读媒体,其中所述计算机程序进一步包含用 于执行以下操作的指令在存取所述保留元件之前将所述IC的一部分转换为断电模式,其中所述IC的所 述部分包含所述保留元件。
19、 如权利要求17所述的计算机可读媒体,其中所述数据序列是第一数据序列 且所述计算机程序进一步包含用于执行以下操作的指令在将所述值保存在所述保留元件中之后且在存取所述保留元件之前将第二数据 序列加载到所述链中,其中所述第二数据序列包含用于所述保留元件的不同于所述第 一数据序列的对应值的值。
20、如权利要求17所述的计算机可读媒体,其中所述数据序列是第一数据序列 且所述计算机程序进一 步包含用于执行以下操作的指令在存取所述保留元件以验证来自所述第一数据序列的所述保存的值的所述准确 性之后,将第二数据序列加载到所述链中,其中所述第二数据序列包含用于所述保留 元件的不同于所述第一数据序列的所述保存的值的值;将来自所述第二数据序列的所述不同值保存在所述保留元件中;及存取所述保留元件以验证来自所述第二数据序列的所述保存的不同值的准确性。
全文摘要
一种测试集成电路(IC)的方法,其包含将数据序列加载到保持数据值的电路元件链中,其中将至少一些电路元件的输出连接到邻近电路元件的输入,因此值在用于加载值的链输入与用于卸载值的链输出之间依序通过所述链,且第一电路元件包含用于在与所述IC相关的功率变化期间保存值的保留元件。所述方法进一步包含将来自所述数据序列的值保存在所述保留元件中;及存取所述保留元件以验证来自所述数据序列的所述保存的值的准确性。
文档编号G01R31/28GK101644742SQ200910150248
公开日2010年2月10日 申请日期2009年6月23日 优先权日2008年6月26日
发明者克里希纳·查克拉瓦达纳努拉, 史蒂文·L·格雷戈尔, 帕特里克·加拉格尔, 普尼特·阿罗拉, 维韦克·奇克马内 申请人:益华公司
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1