用于半导体器件的基于目标的虚拟插入的制作方法

文档序号:6376267阅读:180来源:国知局
专利名称:用于半导体器件的基于目标的虚拟插入的制作方法
技术领域
本发明总体上涉及半导体领域,更具体地,涉及用于半导体器件的基于目标的虚拟插入。
背景技术
在半导体制造厂中处理半导体晶片以在晶片的不同区域中形成各种集成电路(IC)0形成在半导体衬底上的集成电路包括多个半导体器件。采用各种半导体制造工艺来形成半导体器件,包括蚀刻、光刻、离子注入、薄膜沉积、和热退火。然而,在目前形成集成电路的制造方法中,应用于半导体晶片的热退火工艺在半导体器件的性能中引入了不均匀性。电性能在半导体晶片上形成的器件中是不同的,劣化了集成电路的整体质量。当半导体工艺技术发展到诸如65nm、45nm或30nm以下的先进技术节点时,该问题更加严重。因此,需要能够解决该问题的集成电路结构及其制造方法。

发明内容
本发明提供了各种广泛的实施例。一个实施例包括用于基于目标的虚拟插入的集成电路方法。该方法包括提供集成电路(IC)设计布局;以及提供用于仿真IC设计布局上的热效应的热模型,热模型包括光学仿真和硅校验。该方法还包括提供热模型和IC设计布局的卷积以生成IC设计布局的热图像轮廓;限定用于在热图像轮廓中优化热均匀性的热目标;将热目标与热图像轮廓进行比较以确定差异数据;以及基于差异数据对IC设计布局执行热虚拟插入,以提供基于目标的IC设计布局。本公开还包括集成电路方法的另一实施例。该方法包括提供集成电路(IC)设计布局;以及提供用于仿真IC设计布局上的热效应的热模型,热模型包括严格耦合波分析(RCffA)光学仿真和硅校验。该方法还包括提供热模型和IC设计布局的卷积以生成IC设计布局的热图像轮廓;以及限定用于在热图像轮廓中优化热均匀性的热目标,热目标包括用于IC设计布局的吸收目标、反射率目标和/或图样密度目标。该方法还包括将热目标与热图像轮廓进行比较以确定差异数据;以及基于差异数据对IC设计布局执行热虚拟插入,以提供基于目标的IC设计布局,其中,热虚拟插入遵守基于模型的规则以优化热均匀性和地形均匀性。此外,本发明还提供了一种集成电路方法,包括提供集成电路(IC)设计布局;提供用于仿真IC设计布局上的热效应的热模型,热模型包括光学仿真和硅校验;提供热模型和IC设计布局的卷积,以生成IC设计布局的热图像轮廓;限定用于优化整个热图像轮廓中的热均匀性的热目标;将热目标与热图像轮廓进行比较以确定差异数据;以及基于差异数据对IC设计布局执行热虚拟插入,以提供基于目标的IC设计布局。其中,光学仿真包括严格耦合波分析(RCWA)光学仿真。其中,限定热目标的步骤包括限定IC设计布局的吸收目标、反射率目标、和/或图样密度目标。
其中,对IC设计布局执行热虚拟插入的步骤遵守基于模型的规则。其中,对IC设计布局执行热虚拟插入的步骤包括插入单间距和单尺寸的热虚拟部件作为虚拟晶种部件。其中,对IC设计布局执行热虚拟插入的步骤包括根据差异数据确定热虚拟部件的大小。其中,对IC设计布局执行热虚拟插入的步骤包括优化热均匀性和局部解剖均匀性。该方法还包括仿真基于目标的IC设计布局上的热效应;仿真基于目标的IC设计布局上的电性能;以及确定基于目标的IC设计布局的电性能是否在可接受的性能阈值内。其中,仿真热效应的步骤包括在退火工艺期间仿真辐射束的反射、透射、和/或吸收。其中,仿真电性能的步骤包括从基于目标的IC设计布局中提取饱和电流和阈值电压中的至少一个。该方法还包括当电性能不在性能阈值内时,基于后续的差异数据对IC设计布局重复进行热虚拟插入,以提供后续基于目标的IC设计布局,重复进行热虚拟插入的步骤包括对热虚拟部件进行增加、去除、再定位、调整大小、和/或再成型中的至少一个,重复仿真热效应,重复仿真电性能,以及确定后续的基于目标的IC设计布局的电性能是否在可接受的性能阈值内。该方法还包括当电性能在性能阈值内时,基于基于目标的IC设计布局制造掩模,以及使用掩模制造晶片。此外,还提供了一种集成电路方法,包括提供集成电路(IC)设计布局;提供用于仿真IC设计布局上的热效应的热模型,热模型包括严格稱合波分析(RCWA)光学仿真和娃校验;提供热模型和IC设计布局的卷积,以生成IC设计布局的热图像轮廓;限定用于优化整个热图像轮廓中的热均匀性的热目标,热目标包括用于IC设计布局的吸收目标、反射率目标、和/或图样密度目标;将热目标与热图像轮廓进行比较以确定差异数据;以及基于差异数据对IC设计布局执行热虚拟插入,以提供基于目标的IC设计布局,其中,热虚拟插入的步骤遵守基于模型的规则,以优化热均匀性和局部解剖均匀性。其中,对IC设计布局执行热虚拟插入的步骤包括插入单间距和单尺寸的热虚拟部件作为虚拟晶种部件。其中,对IC设计布局执行热虚拟插入的步骤包括根据差异数据确定热虚拟部件的大小。该方法还包括仿真基于目标的IC设计布局上的热效应;仿真基于目标的IC设计布局上的电性能;以及确定基于目标的IC设计布局的电性能是否在可接受的性能阈值内。其中,仿真热效应的步骤包括在退火工艺期间仿真辐射束的反射、透射、和/或吸收。其中,仿真电性能的步骤包括从基于目标的IC设计布局中提取饱和电流和阈值电压中的至少一个。
该方法还包括当电性能不在性能阈值内时,基于后续的差异数据对IC设计布局重复进行热虚拟插入,以提供后续基于目标的IC设计布局,重复进行热虚拟插入的步骤包括对热虚拟部件进行增加、去除、再定位、调整大小、和/或再成型中的至少一个,重复仿真热效应,重复仿真电性能,以及确定后续的基于目标的IC设计布局的电性能是否在可接受的性能阈值内。该方法还包括当电性能在性能阈值内时,基于基于目标的IC设计布局制造掩模,以及使用掩模制造晶片。


当读取附图时,可以从以下详细描述中更好地理解本公开的各个方面。应该强调的是,根据工业的标准实际,各种部件不是按比例绘制。实际上,为了讨论的清楚,可以任意增加或减小各种部件的尺寸。图1是一个实施例中根据本公开各个方面构造的集成电路(IC)设计方法的流程图。图2是一个实施例中根据本公开各个方面构造的IC设计布局的顶视图。图3A和图3B是各个实施例中根据本公开各个方面构造的半导体结构的截面图。图4是示出一个实施例中根据本公开各个方面构造的激光尖峰式退火的示意图。图5是提供用于半导体晶片的不同材料的各种光学参数的示图。图6是另一实施例中根据本公开各个方面构造的IC设计布局的顶视图。图7是另一实施例中根据本公开各个方面构造的IC设计方法的流程图。图8是根据本公开各个方面构造的测试结构的示意图。图9A至图9C提供了从图8的各种测试半导体结构中收集的实验数据的示图。图10是一个实施例中根据本公开各个方面构造的测试结构的顶视图。图1lA至图1lD提供了各个实施例中从图10的各种测试结构中收集的各种实验数据。图12是根据本公开各个方面的用于生成热模型的方法的流程图。图13和图14是根据本公开各个方面的IC设计方法的流程图。图15提供了用于实施本文所描述方法(例如,图1、图7、图12、图13和/或图14)的实施例的示意性计算机系统。
具体实施例方式应该理解,以下公开提供了许多不同的用于实施本法不同特征的实施例或实例。以下描述了部件和配置的具体实例以简化本公开。当然,这些仅仅是实例而不用限制本发明。此外,本公开可以在各个实例中重复参考标号和/或字母。这种重复是简化和清除的目的,而不是表示所讨论的各个实施例和/或结构之间的关系。此外,以下描述中第一部件形成在第二部件之上或上可包括第一和第二部件被形成为直接接触的实施例,并且还还可以包括可形成附加部件夹置在第一和第二部件之间使得第一和第二部件不直接接触的实施例。图1是一个实施例中根据本公开各个方面构造的集成电路设计方法100的流程图。图2是一个实施例中根据本公开各个方面构造的集成电路设计布局200的顶视图。参照图1和图2整体描述IC设计方法100和IC设计布局200。方法开始于步骤110,其中,提供集成电路(IC)设计。IC设计可包括一个或多个IC设计布局,其被设计为形成在对应的光掩模上并进一步被形成在晶片的对应材料层上。例如,IC设计包括用于浅沟槽隔离的第一 IC设计布局、用于晶体管栅极的第二 IC设计布局和用于源极/漏极的第三IC设计布局。在图2中将IC设计布局200示出为代表实例。IC设计布局200包括各种电路图样214,也被称为主图样。在主图样214中限定各种电路部件。IC设计布局200还包括各种虚拟区域216。在半导体设计和制造流程中,使用电子束、离子束或其他适当的技术将IC设计布局转印至掩模。然后,在光刻工艺期间使用掩模对一个或多个晶片进行图样化,使得掩模图样被转印至晶片的材料层。在以下描述中可交换地使用IC设计和IC设计布局。电路图样214包括各种电路部件(在图2中未示出)。在各种实例中,电路图样包括多晶硅栅极、浅沟槽隔离(STI)部件、轻掺杂漏极(LDD)区域、掺杂阱、接触、通孔、金属线或将形成在晶片上的其他图样化部件。由于图样密度通常不是均匀分布,所以这会在电路图样被转印至晶片时引起制造变化。例如,化学机械抛光(CMP)被应用于晶片以实现全局的平面化表面。然而,当诸如STI部件或金属线的电路图样没有均匀分布时,会减小或劣化针对晶片的全局平面化效果。因此,对IC设计布局应用虚拟插入来用于优化CMP效果。在另一实例中,当电路图样从掩模转印至晶片时,各种亚分辨率辅助部件(sub-resolutionassistant feature)被结合到IC设计布局中以实现优化成像效果。在晶片制造中,在各个阶段实施热退火工艺(诸如激活退火工艺)以在离子注入工艺之后减少缺陷并激活掺杂物质。然而,当在整个晶片上热效应不是全局均匀时,退火效果会根据晶片上的位置而不同,使得电路在这些位置上表现出电性能变化。例如,当在局部电路环境内退火温度在预期的退火温度之下或之上时,场效应晶体管(FET)的阈值电压和饱和电流会由于不完全退火或过退火而在规定之外。本公开提供了具有电路图样和虚拟热部件的IC设计结构以完成均匀的退火效应。本公开还提供了将虚拟热部件结合到IC设计布局200中用于优化电路性能的方法。虚拟热部件是针对热效应插入到电路图样中但不电连接至功能电路且不具有针对电路的任何直接电功能的虚拟部件。因此,这些部件被称为虚拟热部件。类似于虚拟CMP部件或光学辅助部件,在掩模制造之前虚拟热部件被结合到IC设计布局中。虚拟热部件不同于光学辅助部件,这是因为光学辅助部件是亚分辨率部件。虚拟热部件不是亚分辨率部件。虚拟热部件被添加至IC设计,转印至掩模,并且进一步被转印至晶片,以有助于应用于晶片的热退火工艺。如果IC设计布局用于STI,则结合到IC设计布局中的虚拟热部件也为STI。如果IC设计布局用于多晶硅栅极,则结合到IC设计布局中的虚拟热部件也为多晶硅栅极。方法100前进到对IC设计布局200执行热虚拟插入的步骤112以及在退火工艺期间评价主电路部件的热效应的步骤114。虚拟热部件可以形成为与主电路部件相邻,诸如图2所示的虚拟区域216。可以以任何适当的形状、大小和位置来设计虚拟热部件。在一个实例中,虚拟热部件具有矩形形状。在另一实例中,虚拟热部件与相邻的主电路部件对准。在另一实例中,基于仿真模型来增加虚拟热部件(例如,在退火工艺期间评价IC设计布局中的主电路部件的热效应之后)。由于在退火工艺期间虚拟热部件被添加至IC设计以实现均匀的退火效果,所以仿真模型与退火工艺的对应退火机制相关联。利用各种实例并进一步参照图3至图6详细讨论步骤112和114。在一个具体实例中,IC设计布局200是用于将形成在半导体晶片中的浅沟槽隔离(STI)部件254的设计图样,诸如图3A所示半导体结构250的截面以及图3B所示另一半导体结构260的截面。半导体结构250 (或260)是半导体晶片的一部分,或者具体为半导体管芯(或芯片)的一部分。半导体结构250 (或260)包括各种器件(未示出),诸如有源器件和/或无源器件。有源器件包括诸如场效应晶体管(FET)的晶体管。在一个实施例中,场效应晶体管是金属氧化物半导体(MOS)场效应晶体管。在另一实施例中,各种器件包括存储器件,诸如静态随机存取存储器(SRAM)单元。SRAM单元包括各种电容器和晶体管,它们被配置并耦合为用于数据存储和访问的功能。半导体结构250 (或260)包括半导体衬底252。在一个实施例中,半导体衬底包括硅。可选地,衬底252包括锗或硅锗。在其他实施例中,衬底252可以使用另一半导体材料,诸如金刚石、碳化娃、砷化镓、GaAsP> AlInAs> AlGaAs> GaInP或其他适当的组合。此外,半导体衬底252可包括块状半导体(诸如体硅)以及形成在体硅上的外延硅层。形成在半导体衬底252中的STI结构被设计用于隔离。可选地,可以采用诸如硅的局部氧化(LOCOS)的其他适当隔离结构。STI部件限定半导体衬底252没有被隔离部件覆盖的各种有源区域。可以在有源区域中形成各种掺杂部件和有源器件。如图3A和图3B所示,半导体结构250 (或260)还包括设置在衬底252上的各种栅极256。每个栅极都包括栅极电介质(材料)和设置在栅极电介质上的栅电极。在各种实施例中,栅极电介质包括氧化硅、高k电介质材料或者它们的组合。栅电极包括掺杂多晶硅、金属或它们的组合。一对源极和漏极可以进一步形成在衬底中并夹置栅极以形成功能场效应晶体管。可选地,栅极可以设置在隔离部件上作为用于制造目的(诸如CMP工艺均匀性或光学临近修正(OPC)效应)的虚拟部件。形成半导体结构100的制造工艺包括各种热退火步骤,其包括但不限于形成STI的热退火以及在离子注入工艺之后应用于半导体衬底的热退火。在实验中已经证实,由于图样特性,热退火工艺可以在半导体晶片的各种局部环境中生成不同的热效应。具体地,当激光尖峰式退火(LSI)被用于离子注入工艺之后的热退火工艺时,证实对应的退火效果变化对电路质量和电性能具有显著影响。LSA是采用激光能量来用于热退火效应的热退火工具。LSA提供了非常快速的退火,诸如毫秒级或微秒级。参照图4,不出了 由 North America, 4 Constitution Way, Suite L, Woburn, MA01801,Ultratech股份有限公司开发的LAS系统270的一个实例。LSA系统270包括用于生成具有大约10. 6微米波长的激光的二氧化碳(CO2)气体。在一个应用中,当来自LAS系统270的激光束272被导向半导体衬底274的表面时,入射角276大约为布鲁斯特角(例如, 72度),使得激光的偏振方向278基本上平行于半导体衬底274的表面。半导体衬底274的一部分被缩小并标为280。实验表明,热效应不仅与图样密度相关,而且与诸如间隔、形状和定向的图样配置相关。基于包括激光尖峰式退火期间激光束272的反射、透射和吸收的热传送和吸收机制来评价真实的热退火效应。可选地,可以在晶片制造期间实施其他退火技术。虚拟热插入和热仿真模型必须根据具体的退火技术来调整。例如,可以在退火工艺中采用快速热退火(RTA)。通过使用灯(诸如卤素灯)加热晶片来执行RTA。其他可选退火技术为使用闪光灯的快速退火。各种退火技术根据辐射束波长和加热机制而不同。在一个实例中,快速退火具有大约510nm的辐射束波长,RTA具有大约IOOOnm的辐射束波长,以及LAS具有大约10,600nm的辐射束波长。由于它们的波长不同(因此频率不同),所以反射、透射和吸收行为也不同。局部电路图样的热效应在不同的退火技术中进行变化。每个材料层都相对特定波长的辐射束具有特定的折射率η和吸收指数k。在图5的表格290中总结相对于快速退火、RTA和LSA (激光)用于包括氮化硅(Si3N4)、多晶硅(Poly-Si)、氧化硅(SiO2)和硅(Si)的材料的参数η和k。通常,热仿真包括通过作为几个实例的包括STI (氧化硅)、衬底(硅)、栅极(多晶硅)或栅极隔离物(氮化硅)的各种电路部件来仿真退火工艺期间反射、透射和吸收的退火辐射束。热仿真还包括来自退火工艺的辐射束的吸收之后的局部温度变化。可选地,热仿真模型可以简化为基于各种测试图样根据光学仿真或直接硅数据生成的一个或多个查找表。稍后将详细独立地描述该方法。如图6所示,在步骤112中,各种虚拟热部件被增加至IC设计布局200。这些虚拟热部件被增加至虚拟区域216,并且为了简化也被称为216。如上所述,虚拟热部件可以被设计为具有适当的形状、大小、位置和结构来用于优化热均匀性。可以在IC设计布局中的主电路的热效应的仿真之后和/或之前来实施虚拟热部件的插入。在一个实施例中,IC设·计布局的虚拟热部件的插入和热效应的仿真可以重复多于一个的循环,直到热均匀性在可容忍的范围内。在这种情况下,IC设计布局的仿真可包括主电路和插入的虚拟热部件。第一循环之后虚拟热部件的插入包括增加附加虚拟热部件和/或修改插入的虚拟热部件。在各种实例中,修改插入的虚拟热部件包括调整插入虚拟热部件的大小、再定位和/或再成型插入虚拟热部件。在各种实例中,虚拟热部件的插入可进一步包括通过调整主电路部件的大小、再定位和/或再成型主电路部件来修改主电路部件。方法100前进到步骤116,其中,仿真在IC设计布局200中限定并将形成在半导体晶片中的主电路或器件的电性能。基于热效应的仿真来仿真和评价主电路(或器件)的电性能。在半导体制造中,退火工艺通常被应用于半导体晶片以在离子注入(诸如轻掺杂漏极(LDD)离子注入或沟道离子注入)之后减少缺陷并激活掺杂物质以调整对应的阈值电压。针对掺杂区域的退火工艺的真实电效应取决于掺杂区域的退火工艺的热效应(诸如热轮廓随时间变化的温度)。与掺杂区域相关联的器件的电性能取决于掺杂物质的再分布。因此,电路(器件)的电性能被通过退火工艺的虚拟热部件所影响。可以基于模型来实施电路(器件)的电性能的仿真,以使电性能和包括所结合虚拟热部件的IC设计布局之间相关。更具体地,虚拟热部件的插入不仅调整热均匀性,而且还调整电路/器件的电性能。考虑到电路/器件的电性能更加与最终产品的规格和性能相关,可以根据电路/器件的电性能更加有效地评价和调整虚拟热部件的插入。此外,许多其他工艺(诸如离子注入)可以有助于电路/器件的电性能,一个工艺的变化将引起电路/器件的电性能的变化。在这种情况下,根据电性能插入虚拟热部件不仅减少了热均匀性问题,而且还补偿来自其他因素/工艺(诸如离子注入)的变化。电性能的仿真可以包括仿真注入物质的再分布。仿真模型包括各种相关参数/因子,诸如扩散和/或热轮廓。基于再分布的注入物质,仿真还生成晶体管的电参数,诸如饱和电流、阈值电压和/或泄露电流。在一个实施例中,仿真包括与再分布的掺杂物轮廓和电参数(诸如阈值电压)相关的SPICE工具。SPICE工具是由UCBerkeley开发的电路分析程序。SPICE是提供完整物理仿真(包括输出信号变形、信号电平和时间延迟)的工具。其他可选工具包括由IBM开发的ASTAP。在建立这种仿真模型的过程中,各种硅数据(台架试验结果)被收集并用于验证仿真是否正确。方法100前进到步骤118,以检查仿真结果在一个或多个电性能方面是否可接受。在一个实施例中,阈值电压被用作这种参数。如果根据电性能仿真生成的晶体管的阈值电压在预先定义范围(诸如在产品规格中定义的可容忍范围)内,则修改的IC设计可接受。否则,方法100返回到步骤112,以重复包括步骤112的热虚拟插入、步骤114的热效应仿真和步骤116的电性能仿真的过程,直到IC设计布局可接受。热虚拟插入包括添加虚拟热部件,调整先前添加的虚拟热部件的大小,再成型和再定位先前添加的虚拟热部件。步骤112、114、116和118的过程可以应用于电路部件、电路部件组、器件(诸如晶体管)、电路块或者IC设计布局的预先定义面积的区域。然后,可以针对其他电路部件、电路部件组、器件、电路块或者IC设计布局的区域中的一些或每一个来重复步骤112、114、116和118的过程。在上述过程中,相对于其他步骤,可以以不同的序列实施步骤112。在一个实施例中,在步骤114的热效应仿真和步骤116的电性能仿真之前执行虚拟热部件的插入。在更多的实施例中,可以基于根据各种测试图样建立的查找表实施虚拟热部件的插入。可选地,在步骤114的热效应仿真和步骤116的电性能仿真之后执行虚拟热部件的插入。可以在虚拟热部件的插入之前或之后向IC设计布局添加其他虚拟部件,诸如用于CMP均匀性的虚拟CMP部件和用于分辨率增强的OPC辅助部件。可选地,可以与虚拟热部件的插入一起实施其他虚拟插入。例如,添加OPC辅助部件和CMP是虚拟部件,然后虚拟热部件被添加至IC设计布局,随后仿真和评价热效应。此后仿真和评价电性能。重复虚拟热部件的插入的步骤112,使得虚拟热部件被调整以增强热均匀性。在另一实施例中,在OPC辅助部件和虚拟CMP部件已经被添加至IC设计布局之后仿真热效应。此后,虚拟热部件被添加至IC设计布局。进一步仿真电性能。通过添加、再成型、调整大小和/或再定位来修改虚拟热部件用于优化电性能。在各个实施例中,虚拟热部件的插入、OPC辅助部件的插入、虚拟CMP部件的插入、热效应仿真和电性能仿真可以以任何适当的顺序来实施并且可以被重复或部分重复,直到热效应被优化或者电性能被改善为根据产品规格预先定义的可容忍范围。对于另一实例,OPC辅助部件可添加至一个材料层(诸如多晶硅栅极层),而虚拟热部件被添加至另一材料层(诸如硅衬底)。两个步骤可以不干涉并且可以独立地实施。在另一实施例中,CMP辅助部件可以添加到材料层(诸如金属层或多晶硅栅极层),而虚拟热部件被添加至另一材料层(诸如硅衬底)。两个步骤可以没有冲突地独立实施。在完成包括步骤112、114、116和118的虚拟热部件插入的过程之后,在步骤110中提供的IC设计布局被转变为用于掩模制造的修改IC设计布局。方法100前进到步骤120,其中,根据修改的IC设计布局制造一个或多个光掩模(掩模)。掩模可以利用各种技术,包括具有透明衬底(诸如熔凝石英)和涂覆在其上的不透明材料层(诸如铬)的二元掩模,并且根据IC设计布局进行图样化;相移掩模(PSM),诸如可选相移掩模;减薄的相移掩模;或者无铬相移掩模。掩模制造可以涉及电子束写入或者可选的其他技术(诸如离子束写入或X射线写入)。方法前进到步骤122,其中,使用制造的掩模制造一个或多个半导体晶片(诸如硅晶片)。例如,使用掩模,通过光刻工艺图样化材料层(诸如多晶硅层、硅衬底或电介质材料层)。在一个具体实例中,掩模包括修改的IC设计布局,其具有STI部件和用于热效应的虚拟STI热部件。光刻工艺被应用于涂覆在晶片上的光刻胶层,使用掩模对光刻胶层进行图样化,并形成具有限定STI区域的各种开口的图样化光刻胶层。通过光刻胶层的各种开口蚀刻硅衬底以形成沟槽。沟槽被进一步填充有电介质材料以在硅衬底中形成STI部件和虚拟STI热部件(以下统称为STI部件)。在一个实施例中,可通过包括以下处理的过程形成STI部件蚀刻用于沟槽的衬底;用电介质材料填充沟槽;然后对衬底应用平面化工艺,以从衬底去除过量的电介质材料。在一个实施例中,浅沟槽隔离部件包括氧化硅。通过CVD工艺,可以在沟槽中填充氧化硅。在各个实例中,可通过高密度等离子体化学气相沉积(HDPCVD)形成氧化硅。可选地,可通过高纵横比工艺(HARP)形成氧化硅。在另一实施例中,沟槽隔离部件可包括多层结构。在又一实施例中,STI部件包括其他适当的材料,诸如氮化硅、氮氧化硅、低k材料、气隙或它们的组合。例如,隔离部件包括热氧化衬里(lining)层以改善沟槽界面。在另一实例中,用热氧化硅衬里层和HDPCVD氧化硅层填充沟槽。在另一实例中,沟槽可具有多层结构,其具有热氧化物衬里层、CVD氮化硅层和CVD氧化硅层。在一个实施例中,平面化工艺包括应用于半导体衬底以去除填充沟槽的电介质材料的过量部分的化学机械抛光(CMP)工艺。例如,CMP工艺可使用氮化硅层作为抛光停止层,使得CMP工艺可以在氮化硅层处适当停止。退火工艺可进一步应用于形成在硅衬底中的沟槽隔离部件。其他后续工艺包括离子注入和后续的退火工艺。在一个实例中,通过离子注入工艺在硅衬底中形成轻掺杂漏极(LDD)部件。在LDD注入之后对硅衬底应用退火工艺(诸如激光尖峰式退火、RTA或快速退火)以减少缺陷并激活注入的物质。在一个实施例中,由于STI部件还在其中结合虚拟热部件,所以退火工艺被优化以具有均匀的热效应。最小化或减少了由晶片的位置不同而引起的热效应变化。此外,在一些实施例中,电路的电性能和电路的器件(诸如晶体管)被优化以满足产品的规格。更具体地,结合到IC设计中并形成在半导体晶片中的虚拟STI热部件根据对应的退火技术(RTA、快速或LSA)被具体设计用于退火工艺,并且可以根据对应退火工艺的各种参数进一步进行调整。图7是根据本公开的各个方面构造的IC设计方法300的流程图。方法300开始于步骤310,其中,提供各种测试图样。测试图样被设计为具有对应的配置和尺寸以覆盖各种代表配置和尺寸。在一个实施例中,虚拟热部件为将被结合到IC设计布局中用于隔离部件(STI)的虚拟隔离部件(诸如虚拟STI热部件)。具体地,退火工艺的热效应对于STI部件来说更加敏感,因为STI部件在半导体晶片中存在注入物质的地方,并且STI部件在热效应方面与周围的硅衬底相比表现得不同。在一个实施例中,每个测试图样都具有特定的配置、线宽、线间隔和图样密度。作为一个实施例,在图8的截面图中不出了具有测试图样的半导体结构350。半导体结构350包括诸如硅晶片的半导体衬底110和形成在半导体衬底中的浅沟槽隔离(STI)部件112。STI部件被配置为具有周期性配置。相邻STI部件之间的半导体区域被称为有源区域,用于形成各种掺杂部件和半导体器件。如图8所示,“D1”限定了 STI部件的尺寸(或宽度),而“D2”限定了有源区域的尺寸(或宽度)。尺寸D1+D2被定义为周期性STI部件的间距。占空比被定义为用于STI占用密度的D2/D1。方法300前进到步骤312,其中,从多个测试图样中收集硅数据。在一个实施例中,在一个或多个半导体衬底上物理制造具有相同配置但具有不同间距和占空比的各种测试图样,热退火工艺被应用于测试图样以收集来自半导体衬底的真实实验数据(或硅数据)。在另一实施例中,每种技术的退火工艺(RTA、快速或LSA)被分别应用于测试图样以收集真实实验数据。图9A至图9C提供了具有硅数据的示例性图表。在图表中绘制出实验数据,其中,水平轴被定义为间距,垂直轴被定义为光吸收。每个图表都包括根据实验数据绘制的五条曲线。每条曲线都与特定宽度Dl(在图例中标为“CD”)的STI结构相关联。图9A是用于来自快速退火工艺的实验数据的图表(辐射波长=0.5微米)。该图表从底部曲线到顶部曲线包括分别对应于O. 39微米、O. 6微米、I微米、2微米和4微米的Dl的五条曲线。Dl =2微米的曲线和Dl = 4微米的曲线几乎成为一条曲线。图9B是用于来自RTA工艺的实验数据的图表。类似地,图9B中的图表从底部曲线到顶部曲线包括分别对应于O. 39微米、O. 6微米、I微米、2微米和4微米的Dl的五条曲线。图9C是用于来自LSA工艺的实验数据的图表(辐射波长=10. 6微米)。图9C中的图表从顶部曲线到底部曲线包括分别对应于O. 39微米、O. 6微米、I微米、2微米和4微米的Dl的五条曲线。图9A至图9C中的实验数据和曲线清楚地表明了每种退火工艺都在STI间距和STI宽度Dl上具有其特定的吸收特性。在另一实施例中,测试图样包括如图3B所示的STI部件和栅极。各种栅极形成在半导体衬底上,并且栅极的子集被设置为上覆STI部件。图10以顶视图示出了这种测试结构400的一个实施例。测试图样400包括有源区域402,其被半导体衬底中的STI部件所环绕。测试图样400还包括设置在半导体衬底上的栅极404。一些栅极部分地上覆STI部件,而其他栅极被直接设置在半导体衬底上。利用各种参数来设计和制造如此配置的测试结构。例如,STI部件的间距为2微米以上。STI部件的占空比为I以上。STI宽度Dl为I微米以上。在一个示例性配置中,在图10中示出并标出相邻STI部件之间或者栅极阵列与STI部件之间的各种尺寸。图11至图1lD提供了来自各种测试图样的实验数据。图1lA包括用于具有周期性栅极阵列的测试图样的实验数据。栅极间距为O. 26微米。数据为吸收对栅极长度。图1lB包括用于具有周期性STI部件的测试图样的实验数据。STI间距为O. 28微米。数据为吸收对有源区域宽度。从RTA工艺中收集到图1lA和图1lB中的数据。图1lA表示吸收与栅极长度成正比地线性增加。图1lB表示吸收与有源区域宽度成反比地线性减小。图1lC包括用于具有周期性栅极阵列的测试图样的实验数据。栅极间距为O. 26微米。数据为吸收对栅极长度。图1lD包括用于具有周期性STI部件的测试图样的实验数据。STI间距为2. 08微米。数据为吸收对有源区域宽度。从LSA工艺中收集到图1lC和图1lD中的数据。图1IC表示吸收与栅极长度成反比地线性减小。图1lD表示吸收与有源区域宽度成正比地线性增加。可选地,方法可前进到步骤314,其中,通过光学仿真来收集数据(例如,反射率或吸收)。仿真可实现一模型以定量地描述对应退火工艺(诸如RTA、快速或LSA)中所使用的辐射束的反射率和/或吸收。仿真步骤314可使用与步骤312中所使用的测试图样类似的各种测试图样。然后,方法前进到步骤316,其中,基于在步骤312中收集的硅数据或者在步骤314中提取的仿真数据来建立热模型。热模型包括各种测试图样(诸如上面所示的那些)以及对应的实验数据(诸如吸收数据)。在根据仿真数据建立的热模型中,可通过硅数据进一步进行验证和调整。在一个实施例中,任何IC设计配置可被映射至热模型中的一个测试图样。热效应(诸如吸收)可以直接从映射的测试图样的实验数据中提取或者从具有接近几何参数的测试图样中内插。此外,热模型还包括在块318中提供的各种数据或者其子集。在一个实施例中,通过有效介质理论(EMT),热模型包括用于测试图样(或图样化器件)的有效折射率neff的估计。EMT是基于其部件的特性和相对部分描述介质的宏观特性的物理模型。EMT提供了计算介质的有效特性(诸如反射率和/或吸收)的方法。在另一实施例中,经由实掩模卷积,创建一个或多个查找表作为有效的光学模型。例如,对于目标的热效应,查找表可用于提取具有目标热效应的对应配置。因此,根据IC设计布局的对应配置来修改当前的IC设计部件,以实现目标热效应。在另一实施例中,热模型包括图样密度和对应的热效应。在一个实例中,在具有大约100微米直径的局部区域中提供图样密度。在又一实施例中,图样密度与吸收相关联并在查找表中被格式化以易于提取。例如,当吸收作为优化器件性能的目标时,可以基于目标吸收从查找表中提取出对应的图样密度。基于对应的图样密度修改IC设计布局。方法300可以前进到步骤320,其中,执行热检查和绘制。在一个实施例中,热检查为全芯片工艺并被设计为评价热均匀性。 方法300可前进到步骤322,其中,执行对热均匀性和/或其他热效应的自动补偿。补偿包括修改IC设计布局,包括调整虚拟热部件的大小、再成型、再定位和添加虚拟热部件。通过实现热模型来执行补偿,诸如从在步骤316中建立的查找表中提取目标参数。补偿工艺被应用于电路块,或者可选地应用于全部晶片。在一个实施例中,根据相关测试图样的对应配置修改当前IC设计部件以实现目标热效应。在另一实施例中,通过添加虚拟热部件和/或调整现有的IC设计部件(例如,再成型、调整大小和再定位,基于对应的图样密度来修改IC设计布局。补偿可包括在块324中提供的其他进一步的动作。这些进一步的动作包括针对IC设计布局识别弱点(weak spot)和图样最优化。在一个实施例中,可以根据工艺特性和/或设计规则在IC设计布局中识别一个或多个弱点区域。例如,预先定义用于真实退火温度或退火热轮廓的标准。当预测晶片一部分的热效应在预先定义的标准之外时,IC设计布局的对应区域被识别为弱点。在另一实施例中,晶片一部分的退火温度具有与平均退火温度大于约10%的偏离,对应区域被识别为弱点。在另一实例中,如果IC设计布局具有图样密度在根据设计规则的规格外的区域,其中从热模型或硅数据中提取设计规则,则该区域被识别为弱点。补偿可应用于这些弱点区域。在另一实施例中,基于设计的图样优化被应用于IC设计布局来用于热效应或其他热相关效应。图样优化可包括IC设计部件的再定向、再成型、调整大小、再定位和分裂用于增强的热效应或者其他热相关效应(诸如反射)。现在,参照图12,流程图示出了根据本公开各个方面的用于生成热模型的IC设计方法500。方法500包括在块502中,提供多个测试图样用于光学仿真。在一个实例中,可以利用严格耦合波分析(RCWA)光学仿真。块502可包括收集测试图样的光学数据。在一个实例中,用于光学仿真的测试图样可以类似于上面参照图7至图8以及图10和相关描述而描述的那些测试图样,类似描述可用于这里,尽管可以不包括一些描述以避免重复。每个测试图样可包括具有相应线宽、线间隔和线密度的多个线部件,和/或每个测试图样可包括周期性结构。在另一实例中,提供多个测试图样包括在至少一个晶片上制造多个测试图样。在另一实例中,测试图样可包括浅沟槽隔离(STI)部件和/或栅极部件。测试图样可被设计为具有对应的配置和尺寸以覆盖各种代表配置和尺寸。在一个实施例中,虚拟热部件为将结合到IC设计布局中用于隔离部件(STI)的虚拟隔离部件(诸如虚拟STI热部件)。具体地,退火工艺的热效应对于STI部件来说更加敏感,因为STI部件在半导体层中存在注入物质的地方,并且STI部件在热效应方面与周围的硅衬底相比表现得不同。在一个实施例中,每个测试图样都具有特定的配置、线宽、线间隔和图样密度。在另一实施例中,测试图样包括如图3B所示的STI部件和栅极。各种栅极形成在半导体衬底上并且栅极的子集被设置为上覆STI部件。图10以顶视图示出了这种测试结构400的一个实施例。测试图样400包括有源区域402,其被半导体衬底中的STI部件所环绕。测试图样400还包括设置在半导体衬底上的栅极404。一些栅极部分地上覆STI部件,而其他栅极被直接设置在半导体衬底上。利用各种参数来设计和制造如此配置的测试结构。例如,STI部件的间距为2微米以上。STI部件的占空比(duty ratio)为I以上。STI宽度Dl为I微米以上。根据本公开的各个方面,在图10中示出并标出相邻STI部件之间或者栅极阵列与STI部件之间的各种尺寸。方法500还包括在块504中,利用来自光学仿真的光学数据创建热库(thermallibrary)。在一个实例中,热库可包括查找表或多个查找表。在块506中,针对不同的工艺(诸如快速退火工艺、快速热退火(RTA)工艺、和/或激光尖峰式退火(LSA)工艺)创建光学校验的热模型。其他退火工艺在本公开的范围之内。在块508中,例如通过收集来自各种测试图样的硅数据,可利用经验硅测量的方式验证和提炼热模型。在一个实例中,硅测量可以类似于上面参照图9A至图9C和图1lA至图1lD以及相关描述所描述的那些硅测量,类似描述可用于这里,尽管可以不包括一些描述以避免重复。在一个实施例中,在一个或多个半导体衬底上物理地制造具有相同配置但具有不同间距和占空比的各种测试图样,热退火工艺被应用于测试图样以收集来自半导体衬底的真实实验数据(或硅数据)。在另一实施例中,每种技术的退火工艺(RTA、快速或LSA)被分别应用于测试图样以收集真实实验数据。图9A至图9C提供了具有硅数据的示例性图表。在图表中绘制出实验数据,其中,水平轴被定义为间距,垂直轴被定义为光吸收。每个图表都包括根据实验数据绘制的五条曲线。每条曲线都与特定宽度Dl (在图例中标为“⑶”)的STI结构相关联。图9A至图9C的实验数据和曲线表明,每种退火工艺都在STI间距和STI宽度Dl上具有其特定的吸收特性。图1lA至图1lD提供了来自各种测试图样的实验数据。图1lA包括用于具有周期性栅极阵列的测试图样的实验数据。栅极间距为0.26微米。数据为吸收对栅极长度。图1lB包括用于具有周期性STI部件的测试图样的实验数据。STI间距为2. 08微米。数据为吸收对有源区域宽度。从RTA工艺中收集到图1lA和图1lB中的数据。图1lA表示吸收随着栅极长度的增大而线性增加。图1lB表示吸收随着有源区域宽度的增大而线性减小。图1lC包括用于具有周期性栅极阵列的测试图样的实验数据。栅极间距为O. 26微米。数据为吸收对栅极长度。图1lD包括用于具有周期性STI部件的测试图样的实验数据。STI间距为2. 08微米。数据为吸收对有源区域宽度。从LSA工艺中收集到图1lC和图1lD中的数据。图1lC表示吸收随着栅极长度的增大而线性减小。图1lD表示吸收随着有源区域宽度的增大而线性增加。在块510中,由此提供了用于仿真IC设计布局上的热效应的热模型,热模型包括光学仿真和硅校验。现在,参照图13,流程图示出了根据本公开各个方面的基于目标的虚拟插入的IC设计方法600。方法600包括在块602中提供IC设计布局。在一个实例中,IC设计布局可类似于上面参照图1至图3B以及相关描述所描述的那些IC设计布局,类似描述可用于这里,尽管可以不包括一些描述以避免重复。根据一个方面,IC设计可包括一个或多个IC设计布局,其被设计为形成在对应的光掩模上并进一步被形成在晶片的对应材料层上。例如,IC设计包括用于浅沟槽隔离的第
一IC设计布局、用于晶体管栅极的第二 IC设计布局、和用于源极/漏极的第三IC设计布局。IC设计布局可包括各种电路图样,也被称为主图样。可以在主图样中限定各种电路部件。IC设计布局还可以包括各种虚拟区域。在半导体设计和制造流程中,使用电子束、离子束或其他适当的技术将IC设计布局转印至掩模。然后,在光刻工艺期间使用掩模对一个或多个晶片进行图样化,使得掩模图样被转印至晶片的材料层。在以下描述中可交换地使用IC设计和IC设计布局。电路图样可包括各种电路部件。在各种实例中,电路图样可包括多晶硅栅极、浅沟槽隔离(STI)部件、轻掺杂漏极(LDD)区域、掺杂阱、接触、通孔、金属线或将形成在晶片上的其他图样化部件。由于图样密度通常不是均匀分布,所以这会在电路图样被转印至晶片时引起制造变化。例如,化学机械抛光(CMP)被应用于晶片以实现全局的平面化表面。然而,当诸如STI部件或金属线的电路图样没有均匀分布时,会减小或劣化针对晶片的全局平面化效果。因此,对IC设计布局应用虚拟插入来用于优化CMP效果。在另一实例中,当电路图样从掩模转印至晶片时,各种亚分辨率辅助部件被结合到IC设计布局中以实现优化成像效果。本公开提供了具有电路图样和虚拟热部件的IC设计结构以完成均匀的退火效果。本公开还提供了将虚拟热部件结合到IC设计布局中用于优化电路性能的方法。虚拟热部件是针对热效应插入到电路图样中但不电连接至功能电路且不具有针对电路的任何直接电功能的虚拟部件。因此,这些部件被称为虚拟热部件。类似于虚拟CMP部件或光学辅助部件,在掩模制造之前虚拟热部件被结合到IC设计布局中。虚拟热部件不是亚分辨率部件。虚拟热部件被添加至IC设计,转印至掩模,并且进一步被转印至晶片以有助于应用于晶片的热退火工艺。如果IC设计布局用于STI,则结合到IC设计布局中的虚拟热部件也为STI。如果IC设计布局用于多晶硅栅极,则结合到IC设计布局中的虚拟热部件也为多晶娃栅极。在一个具体实例中,IC设计布局用于将形成在半导体晶片中的浅沟槽隔离(STI)部件的设计图样。半导体结构可以是半导体晶片的一部分,或者具体为半导体管芯(或芯片)的一部分。半导体结构可以包括各种器件,诸如有源器件和/或无源器件。有源器件可包括诸如场效应晶体管(FET)的晶体管。在一个实施例中,场效应晶体管是金属氧化物半导体(MOS)场效应晶体管。在另一实施例中,各种器件包括存储器件,诸如静态随机存取存储器(SRAM)单元。SRAM单元包括各种电容器和晶体管,它们被配置并耦合为用于数据存储和访问的功能。半导体结构可包括半导体衬底。在一个实施例中,半导体衬底包括娃。可选地,衬底包括锗或硅锗。在其他实施例中,衬底可以使用另一半导体材料,诸如金刚石、碳化硅、砷化镓、GaAsP、AlInAs、AlGaAs、GaInP或其他适当的组合。此外,半导体衬底可包括块状半导体(诸如体硅)以及形成在体硅上的外延硅层。形成在半导体衬底中的STI结构被设计用于隔离。可选地,可以采用诸如硅的局部氧化(LOCOS)的其他适当隔离结构。STI部件限定半导体衬底没有被隔离部件覆盖的各种有源区域。可以在有源区域中形成各种掺杂部件和有源器件。半导体结构可进一步包括设置在衬底上的各种栅极。每个栅极都包括栅极电介质(材料)和设置在栅极电介质上的栅电极。在各种实施例中,栅极电介质包括氧化硅、高k电介质材料或者它们的组合。栅电极包括掺杂多晶硅、金属或它们的组合。一对源极和漏 极可进一步形成在衬底中并夹置栅极以形成功能场效应晶体管。可选地,栅极可以设置在隔离部件上作为用于制造目的(诸如CMP工艺均匀性或光学临近修正(OPC)效应)的虚拟部件。如上所述,形成半导体结构的制造工艺可包括各种热退火步骤,其包括但不限于形成STI的热退火以及在离子注入工艺之后应用于半导体衬底的热退火。在实验中已经证实,由于图样特性,热退火工艺可以在半导体晶片的各种局部环境中生成不同的热效应。具体地,当LSA被用于离子注入工艺之后的热退火工艺时,证实对应的退火效果变化对电路质量和电性能具有显著影响。LSA是采用激光能量来用于热退火效应的热退火工具。LSA提供了非常快速的退火,诸如毫秒级或微秒级。热效应不仅与图样密度相关,而且与诸如间隔、形状和定向的图样配置相关。基于包括激光尖峰式退火期间激光束的反射、透射和吸收的热传送和吸收机制来评价真实的热退火效应。可选地,可以在晶片制造期间实施其他退火技术,诸如RTA和快速退火。由于针对不同的退火技术的波长不同(由此频率也不同),所以反射、透射和吸收行为也不同。局部电路图样的热效应在不同的退火技术中变化。每个材料层都相对特定波长的辐射束具有特定的折射率η和吸收指数k。在图5的表格290中总结相对于快速退火、RTA和LSA (激光)用于包括氮化硅(Si3N4)、多晶硅、氧化硅(SiO2)和硅(Si)的材料的参数η和k。通常,热仿真包括通过作为几个实例的包括STI (氧化硅)、衬底(硅)、栅极(多晶硅)或栅极隔离物(氮化硅)的各种电路部件来仿真退火工艺期间反射、透射和吸收的退火辐射束。热仿真还包括来自退火工艺的辐射束的吸收之后的局部温度变化。在块604中,方法600还包括提供用于仿真IC设计布局的热效应的热模型,热模型包括光学仿真和硅校验(例如,如图12的方法500所提供并在上面进行了进一步描述的热模型)。在块606中,方法600还包括提供热模型和IC设计布局的卷积以生成IC设计布局的热图像轮廓。在一个实施例中,任何IC设计配置可映射至热模型中的一个测试图样。热效应(诸如吸收)可以直接从映射的测试图样的实验数据中提取或者从具有接近几何参数的测试图样中内插。在一个实施例中,可以提供热图像轮廓用于全芯片布局或芯片布局的一部分。在块608中,方法600还包括识别IC设计布局的层和/或识别数据类型。例如,可以提供层/数据类型的识别,诸如用于氮化物、多晶硅、氧化物和/或娃,或者用于浅沟槽隔离、晶体管栅极和/或源极/漏极,或者用于RTA、快速或LSA。在块610中,方法600还包括限定用于在热图像轮廓上优化热均匀性的热目标。例如,通过用户提供热目标。在一个实例中,热目标可包括吸收目标、反射率目标和/或用于IC设计布局的图样密度目标。在又一实例中,热目标可包括对应退火工艺(诸如RTA、快速或LSA)中所使用的辐射束的定量光学特性(例如,吸收或反射率百分比)来用于热均匀性优化。在又一实例中,可以提供吸收或反射率的分布,并且可以从分布中选择目标吸收或目标反射率。在一个实例中,可使用所生成的热模型(例如,正态分布的中心),基于全芯片热仿真之后热反射率或吸收等级的分布来限定热目标。热目标可以为热特性(例如,反射率或吸收)的值或变量。在又一实例中,可以提供热目标用于选择电路块或面积或者横跨全IC设计布局。在又一实例中,热目标可应用于电路部件、电路部件组、器件(诸如晶体管)、电路块或者IC设计布局的预先定义面积的区域。在块612中,方法600还包括比较热图像轮廓和热目标以确定差异数据。在块614中,方法600可进一步基于差异数据在IC设计布局上执行热虚拟插入。热虚拟部件可插入到IC设计布局中以提供用于实现热目标的基于目标的IC设计布局,从而优化热均匀性。在一个实例中,IC设计布局中德热虚拟插入遵守基于模型的规则。在另一实例中,热虚拟插入可包括插入热虚拟部件作为虚拟种子部件。在又一实例中,热虚拟插入可包括根据差异数据调整热虚拟部件的大小(例如,增加或减小)。热虚拟部件还可以插入到IC设计布局中以在临界电路块或面积处优化热均匀性和/或地形均匀性。虚拟热部件可形成为与主电路部件相邻,诸如在虚拟区域中。可以以任何适当的形状、大小和位置来设计虚拟热部件。在一个实例中,虚拟热部件具有矩形形状。在另一实例中,虚拟热部件与相邻的主电路部件对准。在另一实例中,基于仿真模型添加虚拟热部件(例如,在退火工艺期间评价IC设计布局中的主电路部件的热效应之后)。由于在一个实例中虚拟热部件被添加至IC设计布局以在退火工艺期间实现均匀的退火效应,所以仿真模型可以与退火工艺的对应退火机制相关联。如上所述,虚拟热部件可被设计为具有适当的形状、大小、位置和配置用于优化热均匀性。在一个实施例中,可以针对多于一个的循环重复虚拟热部件的插入和IC设计布局的热效应的仿真,直到热均匀性在可容忍范围内。在这种情况下,IC设计布局的仿真可包括主电路和插入的虚拟热部件。第一循环之后虚拟热部件的插入可包括添加附加虚拟热部件和/或修改插入的虚拟热部件。在各个实例中,修改插入的虚拟热部件可包括调整插入的虚拟热部件的大小、再定位和/或再成型插入的虚拟热部件。在各个实例中,虚拟热部件的插入可进一步包括通过调整主电路部件的大小、再定位和/再成型主电路部件来修改主电路部件。步骤608、610、612和614的过程可应用于电路部件、电路部件组、器件(诸如晶体管)、电路块或者IC设计布局预先定义面积的区域。然后,可以对其他电路部件、电路部件组、器件、电路块或者IC设计布局的区域中的一些或每一个重复步骤608、610、612和614的过程。
现在,参照图14,流程图示出了根据本公开各个方面的用于基于目标的虚拟插入的IC设计方法700。方法700包括在块702中在IC设计布局上插入单间距(un1-pitch)和单尺寸(un1-size)热虚拟部件作为虚拟种子部件。在块704中,方法700还包括预处理由设计规则约束的IC设计布局。在块706中,方法700还包括对IC设计布局执行热虚拟插入以提供用于热和/或地形均匀性的基于目标的IC设计布局(即,实现方法600的热目标并优化热均匀性)。在一个实例中,对IC设计布局的热虚拟插入可遵守基于模型的规则。在又一实例中,热虚拟插入可包括根据方法600的差异数据调整(例如,增加或减小)热虚拟种子部件的大小或者改变热虚拟种子部件的间距。在块708中,方法700还包括对基于目标的IC设计布局仿真热效应。在一个实例中,仿真热效应包括对基于目标的IC设计布局仿真退火工艺期间辐射束的反射、透射和/或吸收。在块710中,方法700还包括基于仿真的热效应对基于目标的IC设计布局仿真电性能。在一个实例中,仿真电性能包括仿真离子注入工艺。在又一实例中,仿真电性能包括提取基于目标的IC设计布局的饱和电流和阈值电压中的至少一个。在一个方面中,基于热效应的仿真来仿真和评价主电路(或器件)的电性能。可以基于模型实施电路(器件)的电性能的仿真,以使电性能和包括结合的虚拟热部件的IC设计部件相关。更具体地,虚拟热部件的插入不仅调整热均匀性,而且还调整电路/器件的电性能。此外,由于许多其他工艺(诸如离子注入)可以有助于电路/器件的电性能,所以一个工艺的变化将引起电路/器件的电性能的变化。电性能的仿真可以包括仿真注入物质的再分布。仿真模型包括各种相关参数/因子,诸如扩散和/或热轮廓。基于再分布的注入物质,仿真还生成晶体管的电参数,诸如饱和电流、阈值电压和/或泄露电流。在判定块712中,方法700还包括确定仿真的热效应和电性能是否可接受(例如,满足可接受性能阈值)和/或满足热目标或阈值。在一个实施例中,判定块712根据一个或多个电参数检查仿真结果是否可接受。在另一实施例中,阈值电压被用作这种参数。在一个实施例中,如果由电性能仿真生成的晶体管的阈值电压在预定范围(诸如在产品说明中限定的可容忍范围)内,则IC设计可接受。如果确定仿真的热效应和电性能可接受(“是”),则方法700继续到块716,其中,使用基于目标的IC设计布局制造掩模,然后继续到块718,其中,使用块716的掩模制造晶片。利用类似地技术和/或装置,掩模和晶片制造可类似于上述工艺,相关描述完全可应用到这里。在判定块712中,如果确定仿真的热效应和电性能不可接受和/或不满足热目标(“否”),则方法700继续到块714,其中,利用添加、去除、再定位、调整大小、改变目标、调整和/或再成型热虚拟部件中的至少一种,再次重复或执行用于热/地形均匀性的热虚拟插入。在一个实例中,可以基于第二或后续差异数据来重复对IC设计布局的热虚拟插入,以提供第二或后续基于目标的IC设计布局。因此,块714可执行对热均匀性和/或其他热效应的主动补偿。补偿包括修改IC设计布局,包括调整大小、再成型、再定位和添加虚拟热部件。补偿工艺可应用于电路块,或者可选地应用于全芯片。在一个实施例中,根据相关测试图样的对应配置来修改当前的IC设计布局,以实现目标热效应。在另一实施例中,通过添加虚拟热部件和/或调整现有的IC设计部件(例如,再成型、调整大小和再定位)来修改IC设计布局。块714中的补偿可包括其他进一步的动作,诸如识别弱点和对IC设计布局的图样优化。在一个实施例中,可以根据工艺特性和/或设计规则来在IC设计布局中识别一个或多个弱点区域。在一个实例中,如果IC设计布局具有图样密度在根据设计规则的规格之外的区域,其中,从热模型或硅数据中提取设计规则,则该区域被识别为弱点。补偿可应用于这些弱点区域。在另一实施例中,基于设计的图样优化被应用于IC设计布局来用于热效应或其他热相关效应。图样优化可包括IC设计部件的再定向、再成型、调整大小、再定位和分裂用于增强的热效应或者其他热相关效应(诸如反射)。然后,可以重复处理块708、710和712,分别用于重复仿真热效应,重复仿真电性能,以及确定后续基于目标的IC设计布局的电性能是否在可接受性能阈值内。换句话说,重复包括块714中的热虚拟插入、块708中的热效应仿真和块710中的电性能仿真的过程,直到IC设计布局可接受。在虚拟热部件的插入之前或之后,诸如用于CMP均匀性的虚拟CMP部件和用于分辨率增强的OPC辅助部件的其他虚拟部件被添加至IC设计布局。可选地,可选地,可以与虚拟热部件的插入一起实施其他虚拟插入。例如,添加OPC辅助部件和CMP是虚拟部件,然后虚拟热部件被添加至IC设计布局,随后仿真和评价热效应。此后仿真和评价电性能。可重复虚拟热部件的插入,使得虚拟热部件被调整以增强热均匀性。在另一实施例中,在OPC辅助部件和虚拟CMP部件已经被添加至IC设计布局之后仿真热效应。此后,虚拟热部件被添加至IC设计布局。进一步仿真电性能。通过添加、再成型、调整大小和/或再定位来修改虚拟热部件用于优化电性能。在各个实施例中,虚拟热部件的插入、OPC辅助部件的插入、虚拟CMP部件的插入、热效应仿真和电性能仿真可以以任何适当的顺序来实施并且可以被重复或部分重复,直到热效应被优化或者电性能被改善为根据产品规格预先定义的可容忍范围。现在,参照图15,其中示出了用于实施上述方法实施例(诸如图1的方法100、图7的方法300、图12的方法500、图13的方法600和/或图14的方法700)的示例性计算机系统800。计算机系统800被示出为包括在一个或多个网络上连接的四个独立的计算机系统、服务器、IC工具售主、IC设计者和工厂(fab)。这仅仅是实例,并且可以可选地根据预期的实施例配置来使用在或多或少网络上连接的或多或少的计算机的各种配置。为了又一实例,每个计算机系统都包括微处理器802、输入设备804、存储设备806、视频控制器808、系统存储器810、显示器814和通信设备816,它们都通过一个或多个总线812互连。存储设备806可以为软盘驱动器、硬盘驱动器、⑶-ROM、光学驱动器、闪存或任何其他形式的存储设备。此外,存储设备808能够接受软盘驱动器、⑶-ROM、DVD-ROM或任何其他形式的计算机可读介质(其可包含计算机可执行指令)。此外,通信设备816可以为调制解调器、网络卡或者任何其他能够使计算机系统与其他节点通信的设备。应该理解,任何计算机系统都可以表示多个互连(通过内联网或互联网)的计算机系统,包括但不限于个人计算机、主机、PDA和蜂窝电话。通常,计算机系统至少包括能够执行机器可读指令的硬件以及用于执行产生预期结果的动作(通常为机器可读指令)的软件。此外,计算机系统可包括硬件和软件的混合以及计算机子系统。例如,硬件通常至少包括处理器能够处理的平台,诸如客户机(还已知为个人计算机或服务器)以及手持处理设备(诸如智能电话、个人数字助理(PDA)或个人计算设备(PCD))。此外,硬件可包括能够存储机器可读指令的任何物理设备,诸如存储器或其他数据存储设备。例如,其他形式的硬件包括硬件子系统,包括诸如调制解调器、调制解调卡、端口和端口卡的传送设备。软件包括存储在任何存储介质(诸如RAM或ROM)中的任何机器码或者存储在其他设备(例如,软盘、闪存或CD-ROM)上的机器码。例如,软件可包括源代码或结果代码。此外,软件包括任何能够在客户机或服务器中执行的指令集合。软件和硬件的组合还可以用于为本公开的特定实施例提供增强的功能和性能。一个实例为直接将软件功能制造到硅芯片中。因此,应该理解,硬件和软件的组合也包括在计算机系统的定义内,由此被本公开预想为可能的等效结构和等效方法。计算机可读介质包括被动数据存储器(诸如随机存取存储器(RAM))以及半永久数据存储器(诸如压缩盘只读存储器(CD-ROM))。此外,本公开的实施例可以以计算机的RAM来实施以将标准计算机转变为新德专用计算机。数据结构是数据的限定组织,其能够实施本公开的实施例。例如,数据结构可以提供数据的组织或者可执行代码的组织。数据信号可以以传输介质中承载,并且存储和传送各种数据结构,由此可用于传送本公开的实施例。该系统可被设计为工作在任何特定架构上。例如,可以在单个计算机、局域网、客户-服务器网络、广域网、互联网、手持和其他便携式和无线设备和网络上执行该系统。例如,数据库可以为任何标准或私有数据库软件,诸如Oracle、MicrosoftAccess、SyBase或Dbase II。数据库可具有字段、记录、数据和其他数据库元素,其可以通过数据库专用软件相关联。此外,数据可以被映射。映射是使一个数据条目与其他数据条目相关联的处理。例如,包含在字符文件位置中的数据可以映射到第二表格中的字段。数据库的物理位置不被限制,并且数据库可以为分布式。例如,数据库可以远离服务器存在并且在独立的平台上运行。此外,数据库可以在互联网上访问。注意,可以实施多于一个的数据库。尽管详细描述了本公开的实施例,但本领域的技术人员应该理解,在不背离本公开的精神和范围的情况下,可以进行各种变化、替换和修改。例如,热退火工艺不限于激光尖峰式退火、RTA和快速退火;其可以包括其他可应用于半导体晶片的退火工艺。热模型和仿真与对应的退火工艺相关联以调整修改的IC设计布局,使得由此进行图样化的图样在对应的退火工艺期间具有最佳热效应。在其他实例中,通过限定优化目标以及通过图样化或布局处理执行优化,基于模型的热优化的方法还可以应用于CMP、光刻胶平面化、全局/局部RC时间延迟、IC下降、IDDQ监视电流等地优化。因此,本公开提供了各种方法和装置。在一个实施例中,公开了集成电路方法。该方法包括提供集成电路(IC)设计布局;对IC设计布局仿真热效应;基于仿真热效应对IC设计布局仿真电性能;基于仿真电性能对IC设计布局执行热虚拟插入;此后基于IC设计布局制造掩模。本公开还包括集成电路方法的另一实施例。该方法包括提供多个测试图样;收集测试图样的热数据;基于热数据建立热模型;使用热模型向集成电路(IC)设计添加热虚拟部件;以及根据IC设计制造掩模。本公开还包括集成电路方法的另一实施例。该方法包括提供多个测试图样;收集测试图样的热数据;基于热数据创建查找表;对集成电路(IC)设计评价热效应;使用查找表向IC设计添加热虚拟部件;此后根据IC设计制造掩模。本公开还提供了用于基于目标的虚拟插入的集成电路方法。该方法包括提供集成电路(IC)设计布局;以及提供用于在IC设计布局上仿真热效应的热模型,热模型包括光学仿真和硅校验。该方法还包括提供热模型和IC设计布局的卷积,以生成IC设计布局的热图像轮廓;限定用于在热图像轮廓中优化热均匀性的热目标;将热目标与热图像轮廓进行比较以确定差异数据;以及基于差异数据对IC设计布局执行热虚拟插入,以提供基于目标的IC设计布局。本公开还包括集成电路方法的另一实施例。该方法包括提供集成电路(IC)设计布局;以及提供用于在IC设计布局上仿真热效应的热模型,热模型包括严格耦合波分析(RCffA)光学仿真和硅校验。该方法还包括提供热模型和IC设计布局的卷积以生成IC设计布局的热图像轮廓;以及限定用于在图像轮廓中优化热均匀性的热目标,热目标包括用于IC设计布局的吸收目标、反射率目标和/或图样密度目标。该方法还包括将热目标与热图像轮廓进行比较以确定差异数据;以及基于差异数据对IC设计布局执行热虚拟插入,以提供基于目标的IC设计布局,其中,热虚拟插入遵守基于模型的规则以优化热均匀性和地形均匀性。上面概述了若干实施例的部件,使得本领域的技术人员可以更好地理解详细描述。本领域的技术人员应该理解,他们可以容易地将本公开作为设计或修改用于执行与本文所引入的实施例相同的目的和/或实现相同优点的其他工艺和结构的基础。本领域的技术人员还应该意识到,这种等效不背离本公开的精神和范围,并且他们可以在不背离本公开的精神和范围的情况下进行各种改变、替换和修改。
权利要求
1.一种集成电路方法,包括 提供集成电路(IC)设计布局; 提供用于仿真所述IC设计布局上的热效应的热模型,所述热模型包括光学仿真和硅校验; 提供所述热模型和所述IC设计布局的卷积,以生成所述IC设计布局的热图像轮廓; 限定用于优化整个所述热图像轮廓中的热均匀性的热目标; 将所述热目标与所述热图像轮廓进行比较以确定差异数据;以及 基于所述差异数据对所述IC设计布局执行热虚拟插入,以提供基于目标的IC设计布局。
2.根据权利要求1所述的方法,其中,所述光学仿真包括严格耦合波分析(RCWA)光学仿真。
3.根据权利要求1所述的方法,其中,限定所述热目标的步骤包括限定所述IC设计布局的吸收目标、反射率目标、和/或图样密度目标。
4.根据权利要求1所述的方法,其中,对所述IC设计布局执行热虚拟插入的步骤遵守基于模型的规则。
5.根据权利要求4所述的方法,其中,对所述IC设计布局执行热虚拟插入的步骤包括插入单间距和单尺寸的热虚拟部件作为虚拟晶种部件。
6.根据权利要求4所述的方法,其中,对所述IC设计布局执行热虚拟插入的步骤包括根据所述差异数据确定所述热虚拟部件的大小。
7.根据权利要求1所述的方法,其中,对所述IC设计布局执行热虚拟插入的步骤包括优化热均匀性和局部解剖均匀性。
8.根据权利要求1所述的方法,还包括 仿真所述基于目标的IC设计布局上的热效应; 仿真所述基于目标的IC设计布局上的电性能;以及 确定所述基于目标的IC设计布局的电性能是否在可接受的性能阈值内。
9.根据权利要求8所述的方法,其中,仿真热效应的步骤包括在退火工艺期间仿真辐射束的反射、透射、和/或吸收。
10.一种集成电路方法,包括 提供集成电路(IC)设计布局; 提供用于仿真所述IC设计布局上的热效应的热模型,所述热模型包括严格耦合波分析(RCWA)光学仿真和硅校验; 提供所述热模型和所述IC设计布局的卷积,以生成所述IC设计布局的热图像轮廓;限定用于优化整个所述热图像轮廓中的热均匀性的热目标,所述热目标包括用于所述IC设计布局的吸收目标、反射率目标、和/或图样密度目标; 将所述热目标与所述热图像轮廓进行比较以确定差异数据;以及基于所述差异数据对所述IC设计布局执行热虚拟插入,以提供基于目标的IC设计布局,其中,所述热虚拟插入的步骤遵守基于模型的规则,以优化热均匀性和局部解剖均匀性。
全文摘要
本发明提供了用于基于目标的虚拟插入的集成电路方法。一种方法包括提供集成电路(IC)设计布局;以及提供用于仿真IC设计布局上的热效应的热模型,热模型包括光学仿真和硅校验。该方法还包括提供热模型和IC设计布局的卷积以生成IC设计布局的热图像轮廓;限定用于在热图像轮廓中优化热均匀性的热目标;将热目标与热图像轮廓进行比较以确定差异数据;以及基于差异数据对IC设计布局执行热虚拟插入,以提供基于目标的IC设计布局。
文档编号G06F17/50GK102999656SQ201210320858
公开日2013年3月27日 申请日期2012年8月31日 优先权日2011年9月7日
发明者郑英周, 罗博仁, 刘文豪, 欧宗桦, 许志玮, 黄文俊, 刘如淦 申请人:台湾积体电路制造股份有限公司
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1