Rfid标签芯片验证系统及验证方法

文档序号:6401809阅读:272来源:国知局
专利名称:Rfid标签芯片验证系统及验证方法
技术领域
本发明属于RFID标签芯片的功能验证领域,涉及到一种RFID标签芯片验证系统及验证方法。
背景技术
射频识别RFID (Radio Frequency Identif ication)技术,是一种非接触式且能自动识别目标物体的无线通信技术,通过射频识别RFID技术可以通过无线信号识别特定目标并读写相关数据,而不用识别系统与特定目标之间建立机械或光学接触。射频识别系统通常由电子标签 VICC (Vicinity Integrated Circuit (s) Cards)和阅读器 VCD (VicinityCoupling Device)构成。验证系统通过对V⑶和VICC的功能的模拟,从而到达对标签芯片进行功能验证的目的(功能验证是指通过探索逻辑设计的状态空间比较设计实现与需求规范和设计意图是否相符)。对于符合IS0/IEC15693协议的标签芯片,阅读器V⑶发送给电子标签VICC的命令有15条之多,而且命令帧的格式都不相同。电子标签VICC返回给阅读器VCD的响应帧的格式也不尽相同。因此,为保证电子标签VICC功能的正确性,在验证过程中不仅要对单条命令进行验证,而且还要对特定的命令序列和随机命令序列进行验证。所以采用传统的验证方法,往往需要大量的验证人员并耗费大量的时间投入在芯片验证工作中。在传统的验证方法中,验证工程师需要编写大量的定向激励,并通过人工的方法观测和检查仿真结果。这种验证方法的效率非常低下,可重用性低,而且验证充分性得不到保障,往往导致流片后有些功能没有得到完全验证,从而导致了流片失败。因此,需要对传统的验证系统和验证方法进行改进,以解决验证充分性的问题,同时提高验证效率。本方法针对RFID标签芯片的帧结构和命令格式特点,提出了一种基于覆盖率和受约束的随机激励的面向对象的功能验证系统设计方法,目的是为了大幅提高验证效率,解决验证充分性的问题。验证人员可以在系统上定制所需要的测试激励,也可以随机生成测试激励。使用本方法的验证系统可以大大减少验证人员的数量并缩短验证周期,显著的提高验证生产率。

发明内容
本发明提出了一种RFID标签芯片验证系统及验证方法。本发明的验证系统及其方法不仅能对单个命令进行验证,也能对特定的和随机的命令流进行验证,并自动检查验证结果。验证系统采用分层次,基于VMM (VerificationMethodology Manual),自下至上方式搭建,包括信号层、命令层、功能层、场景层和测试层。根据本发明的第一方面,提供了一种RFID标签芯片验证系统,所述验证系统包括:信号层1,包括连接到待验证标签芯片的标签芯片接口 10和虚拟接口 11,其中EEPROM接口读写信号传输到EEPROM验证IP13,其它输入输出信号利用虚拟接口 11传输到总线功能模块12以及命令层监视器模块14 ;命令层2,包括总线功能模块12、EEPROM验证IP13和命令层监视器14,其中总线功能模块12的输入来自驱动器15,输出连接到虚拟接口 11,EEPROM验证IP13的输入输出只与标签芯片接口 10相连,命令层监视器14的输入来自虚拟接口 11,输出连接到响应监视器18 ;功能层3,包括驱动器15、标签参考模块16、检查器17和响应监视器18,驱动器15的输入为激励发生器19,输出给标签参考模块16、响应监视器18以及总线功能模块12,标签参考模块16的输入来自驱动器15以及命令响应模块21,输出连接到检查器17,检查器17的输入来自标签参考模块16、命令响应模块21和响应监视器18 ;场景层4,包括激励发生器19和命令响应模块21 ;测试层5,包括测试案例20。其中,所述总线功能模块12用于将从所述驱动器15接收的事务以一定的编码方式生成信号波形,发送到所述标签芯片接口 10 ;所述命令层监视器14通过所述虚拟接口 11连接所述标签芯片接口 10,用于把所述标签芯片接口 10的输出波形还原为数据事务。其中,所述命令层监视器14检测所述标签芯片接口 10的输出是否符合规定的协议,以及将所述标签芯片接口 10的输出波形转化为字节形式的信息。其中,所述协议包括IS0/IEC15693协议或者IS0/IEC14443协议。其中,所述命令层监视器14基于解码算法把所述标签芯片接口 10的输出波形还原为数据事务。其中,所述驱动器15用于将从所述激励发生器19取得的事务发送给所述总线功能模块12 ;所述标签参考模块16基于系统验证工具systemverilog编写实现待验证标签芯片的功能;所述检查器17包括第一输入接口和第二输入接口,所述第一输入接口用于接收所述响应监视器18发送的响应,所述第二输入接口用于接收所述标签参考模块16发送来的事务,所述检查器17用于检查上述两个输入接口的信息是否一致,判断待验证的标签芯片的逻辑功能是否正确;以及所述响应监视器18用于接收命令层监视器14发送的事务并对其进行处理,然后发送给所述检查器17。可选的,所述激励发生器19基于激励产生决策算法来确定何时产生激励以及何时将激励发送到所述驱动器15。可选的,所述激励发生器19包括基元发生器和场景发生器,所述基元发生器产生单条命令帧,所述场景发生器生成命令序列。可选的,所述测试案例20包括:创建定向激励、发生器的附加约束、新的随机场景的定义、被测设计的状态监控或错误插入使能标志。根据本发明的另一方面,提供了一种RFID标签芯片的验证方法,用于在前述任一项所述的RFID标签芯片验证系统中运行,所述方法包括:步骤1:编写测试案例20 ;步骤2:调用激励发生器19产生相应信号;步骤3:驱动器(15)将从激励发生器19取得的信号发送给总线功能模块12 ;步骤4:总线功能模块12将从驱动器15接收的信号通过一定的编码方式生成信号波形,施加于标签芯片接口 10 ;步骤5:所述响应监视器18包括第一输入接口和第二输入接口,所述第一输入接口接收所述检查器17发送的响应,所述第二输入接口接收所述标签参考模块16发送来的事务;步骤6:响应监视器18检查上述两个输入接口的信息是否一致,判断所述待验证的标签芯片的逻辑功能是否正确。其中,所述检查器17接收命令层监视器14发送的事务,并对其进行处理,然后发送给所述响应监视器18。可选的,所述激励发生器19包含激励产生决策算法,决定何时产生激励以及何时将激励送入所述驱动器15。可选的,所述测试案例20包括创建定向激励、发生器的附加约束、新的随机场景的定义、被测设计的状态监控或错误插入使能标志。可选的,所述命令层监视器14通过解码后将所述标签芯片接口 10的输出波形还原为数据事务。可选的,所述标签参考模块16用系统验证工具systemverilog编写实现待验证的标签芯片的功能。可选的,所述激励发生器19产生单条命令或产生命令序列。在本发明的RFID标签芯片验证系统中,通过分层将设计的端口进行抽象,使得验证环境无须修改就可以被不同的DUT (Design Under Test)模块所使用。所述虚拟接口是systemverilog语言中的一种接口机制,能使抽象模块与被测设计连接,虚拟接口提高了系统的可重用性,减少了验证系统开发的复杂度。本发明提供的RFID标签芯片验证系统及验证方法优选的适用于IS0/IEC15693协议或IS0/IEC14443协议,并具有以下显著的优势:I)能产生协议中规定的命令,也能产生各种伪命令,保证了激励产生的完备性。2)能产生单条命令,也能产生特定的和随机的命令序列。随机激励可覆盖到边角情况,能查找出使用定向激励难以发现的地方,提高了功能覆盖率。3)具有自动检查功能。验证环境能根据输入预测标签芯片的输出,将预测值与标签芯片的实际输出相比较,来判断功能正确与否。4)验证系统的层次结构保证了代码重用性,一些用于单元级验证的结构可以应用在系统级验证中。而且验证系统一旦完成,就能应用在这类芯片系列当中。5)设计了功能覆盖模块,对随机激励的产生进行度量,识别到目前为止哪些测试激励已经自动的产生过了。


图1示出了本发明的RFID标签芯片验证系统的结构示意图;图2示出了本发明的RFID标签芯片验证方法流程示意图;图3显示了 IS0/IEC15693协议中的命令格式;图4显示了命令层监视器的解码流程图;图5显示了本发明的RFID标签芯片验证系统采用的激励决策算法流程图;图6显示了本发明的RFID标签芯片验证系统的自检查原理示意图。
具体实施例方式以下结合附图对本发明的原理和特征进行描述,所举实例只用于解释本发明,并非用于限定本发明的范围。图1示出了本发明的RFID标签芯片验证系统的结构示意图。如图1所示,本发明的RFID标签芯片验证系统从下至上依次包括信号层、命令层、功能层、场景层和测试层。信号层1,位于系统的最底层,所述信号层包括连接到待验证标签芯片的标签芯片接口 10和虚拟接口 11,用于连接被测芯片。EEPROM接口读写信号传输到EEPROM验证IP13,其它输入输出信号利用虚拟接口 11传输到总线功能模块12以及命令层监视器模块14。命令层2,位于所述信号层I的上层,所述命令层2包括总线功能模块12、EEPROM验证IP13和命令层监视器14,为底层信号接口提供总线及EEPROM存储器。其中总线功能模块12的输入来自驱动器15,输出连接到虚拟接口 11,EEPROM验证IP13是一个独立的IP,其输入输出只跟标签芯片接口 10相连,命令层监视器14的输入来自虚拟接口 11,输出连接到响应监视器18。功能层3,位于所述命令层2的上层,所述功能层3包括驱动器15、标签参考模块
16、检查器17和响应监视器18,用于提供一种参考测试结果。其中,驱动器15的输入为激励发生器19,输出给标签参考模块16、响应监视器18以及总线功能模块12,标签参考模块16的输入来自驱动器15以及命令响应模块21,输出连接到检查器17,检查器17的输入来自标签参考模块16、命令响应模块21和响应监视器18。场景层4,位于所述功能层3的上层,所述场景层4包括激励发生器19和命令响应模块21,用于产生信号激励。测试层5,位于所述场景层4的上层,所述测试层5包括测试案例20,用于提供具体要测试的场景。所述总线功能模块12,用于将从所述驱动器15接收的事务以一定的编码方式生成信号波形,发送到所述标签芯片接口 10。所述命令层监视器14,通过所述虚拟接口 11连接所述标签芯片接口 10,用于把所述标签芯片接口 10的输出波形还原为数据事务。另外,所述命令层监视器14检测所述标签芯片接口 10的输出是否符合规定的协议,例如IS0/IEC15693协议或者IS0/IEC14443协议,以及将所述标签芯片接口 10的输出波形转化为字节形式的信息。另外,所述命令层监视器14基于解码算法把标签芯片接口 10的输出波形还原为数据事务。所述驱动器15用于将从所述激励发生器19取得的事务发送给所述总线功能模块12。所述标签参考模块16基于系统验证工具systemverilog编写实现待验证标签芯片的功能,例如编码、解码等功能。所述检查器17包括第一输入接口和第二输入接口,所述第一输入接口用于接收所述响应监视器18发送的响应,所述第二输入接口用于接收所述标签参考模块16发送来的事务,所述检查器17用于检查上述两个输入接口的信息是否一致,判断所述待验证标签芯片的逻辑功能是否正确;以及所述响应监视器18用于接收命令层监视器14发送的事务并对其进行处理,然后发送给所述检查器17。所述激励发生器19基于激励产生决策算法来确定何时产生激励以及何时将激励发送到所述驱动器15。具体的,所述激励发生器19包括基元发生器和场景发生器,所述基元发生器产生单条命令帧,所述场景发生器生成命令序列。所述激励发生器19是被动的,只有需要测试时,根据不同的测试案例20利用激励发生器19产生响应的测试信号。所述测试案例20包括:创建定向激励、发生器的附加约束、新的随机场景的定义、被测设计的状态监控或错误插入使能标志等。
图2示出了本发明的RFID标签芯片验证方法流程示意图。如图2所示,本发明的RFID标签芯片验证方法,用于在图1所示的RFID标签芯片验证中运行,所述方法包括下述步骤。步骤1:编写测试案例20 ;步骤2:调用激励发生器19产生相应信号;步骤3:驱动器15将从激励发生器19取得的信号发送给总线功能模块12 ;步骤4:总线功能模块12将从驱动器15接收的信号通过一定的编码方式生成信号波形,施加于标签芯片接口 10 ;步骤5:所述响应监视器18包括第一输入接口和第二输入接口,所述第一输入接口接收所述检查器17发送的响应,所述第二输入接口接收所述标签参考模块16发送来的
事务;步骤6:响应监视器18检查上述两个输入接口的信息是否一致,判断所述待验证的标签芯片的逻辑功能是否正确。其中,具体的还包括:所述检查器17接收命令层监视器14发送的事务,并对其进行处理,然后发送给所述响应监视器18。所述激励发生器19,包含激励产生决策算法,决定何时产生激励以及何时将激励送入所述驱动器15。测试案例20包括:创建定向激励、发生器的附加约束、新的随机场景的定义、被测设计的状态监控或错误插入使能标志。所述命令层监视器I通过一解码算法将所述标签芯片接口 10的输出波形还原为数据事务。所述标签参考模块16用系统验证工具systemverilog编写实现待验证的标签芯片的功能。所述激励发生器19产生单条命令,或产生命令序列。本发明的RFID标签芯片验证系统及验证方法优选的适用于IS0/IEC15693协议或IS0/IEC14443 协议。图3显示了 IS0/IEC15693协议中的命令格式。如图3所示,IS0/IEC15693协议中从阅读器V⑶发送到电子标签VICC的命令采用中贞的格式发送,所述巾贞结构包括巾贞头S0F,标志Flag,命令Command,若干参数以及校验位CRC和帧尾EOF。其中,命令Command和校验位CRC的数据为可选参数。帧头SOF和帧尾EOF分别是表示帧起始和帧结束的标志。标志Flag规定了电子标签VICC完成的动作及响应域是否出现或没有出现。命令Co_and表示阅读器V⑶要求电子标签VICC完成的操作。CRC用作数据校验。所述激励发生器19属于验证系统的层次的场景层,包括基元发生器和场景发生器。基元发生器产生单条命令帧,场景发生器生成命令序列。首先对命令的帧格式用数据类Command_trans进行建模,包括标志Flag,命令Command, CRC16以及中间的可选参数,这些数据都定义为rand类型。数据类中包含操作这些数据的方法:copy, psdisplay, frame_size, byte_pack, compute_CRC16 ()。其中,copy方法用于创建数据或事务实例的正确备份,psdisplay方法显示命令巾贞信息,frame_size方法计算命令巾贞的字节数,byte_pack方法讲命令巾贞打包在一个动态数组内,compute_CRC16 O用于计算命令巾贞的crc值。在完成了基本命令巾贞的建模后,调用' vmm_atomic_gen和' vmm_scenario_gen来创建基兀发生器和场景发生器,并调用'vmm_channel宏生成传递命令巾贞的通道。所述总线功能模块12把从所述驱动器15接收的事务,通过一定的编码方式生成信号波形,施加于所述标签芯片接口 10。所述总线功能模块12属于验证系统的命令层,它接收来自于所述激励发生器19的C0_and_trans事务,并将类中数据编码为波形输出给所述标签芯片接口 10。阅读器V⑶到电子标签VICC的编码方式有两种:4取I脉冲位置调制模式,256取I脉冲位置调制模式。4取I模式中,凹槽位置一次决定2个位,4个连续的位对构成I个字节,首先传送最低的位对。256取I模式中,一个单字节的值可以由一个暂停的位置表示。在256/fc (约18.88 μ s)的连续时间内256取I的暂停决定了字节的值。采用哪种编码方式由验证系统决定或人工手动选择。两种编码方式的帧头编码不一样,即脉冲出现的位置不同。所述总线功能模块12就是把数据按这两种编码方式编码,给所述标签芯片接口 10提供编码后信号波形。所述总线功能模块12也通过通道发送CommancLtrans事务给所述响应监视器18,使其处理相同的命令事务。所述命令层响应监视器14属于验证系统的命令层,主要用于检测所述标签芯片接口 10的输出是否符合协议以及将所述标签芯片接口 10的输出波形转化为字节形式的信息。所述响应监视器18将这种以字节形式的信息转化为reply_trans事务类型,并对进行处理,然后将其发送给所述检查器17。电子标签VICC到阅读器V⑶有六种编码方式:单副载波高速、单副载波低速、双副载波高速、双副载波低速、单副载波双高速和单副载波双低速模式。所述响应监视器18能对这六种编码方式进行解码并将解码后数据保存在reply_trans中的动态数组内。图4显示了命令层监视器的解码流程图。参见图4,首先等待系统复位,然后配置标签输出(dout)的副载波速率,接着等待dout上升沿出现。然后i开始加I计数,直到i等于15,然后判断dout是否为1,若为I表示标签输出为单副载波,进行单副载波解码;否则,进行双副载波解码。最后把解码数据送A reply_trans 类中。命令响应模块对标签的响应用类r印ly_trans进行建模。其中,包含一个动态数组bytes [],用于保存标签对命令的响应信息,以及四个方法:reply_size, psdisplay,CRC16, compare。reply_size方法计算响应包含的字节个数,psdisplay方法显示响应的内容,CRC16用于计算响应的crc值,compare方法用于比较两个reply_trans类动态数组bytes中的数据是否一致。所述标签参考模块16属于验证系统的功能层,它根据输入激励计算出所有预期的输出。标签参考模块通常用高级编程语言或HVL来重新实现DUV的功能。所述标签参考模块16用函数实现,输入为Command_trans类,输出为命令响应类reply_trans。所述标签参考模块16根据Command_trans类中的Flag和Command的值决定reply_trans中动态数组bytes中的内容。所述检查器17属于验证系统的功能层,连接所述响应监视器18和所述标签参考模块16,用于检查所述待验证标签芯片的逻辑功能是否正确。它从所述响应监视器18和所述标签参考模块16取得reply_trans数据,并调用reply_trans中compare方法来比较二者的异同。若不同,所述检查器17的错误计数器加1,否则,匹配计算器加I。当错误计数器值超过某个特定数值后,所述检查器17会发出仿真终止的通知,告知仿真环境结束仿真。功能覆盖模块22独立于其它层,用于从器件功能角度度量验证进程。根据被测设计的特点,功能覆盖模块22包括两个覆盖组:Co_and组和Flag组。Co_and组内设置了20个仓(bins),即对每个命令都建立了一个仓;Flag组包含7个仓,对应Flag的前7个位。本发明还设计了特有的激励产生决策算法,由激励发生器执行。传统验证方法只是使用定向激励,验证层次低,不存在激励产生决策算法。本验证系统采用面向对象的验证系统设计方法,设计了稳定的、特有的激励产生决策算法。图5显示了本发明的RFID标签芯片验证系统采用的激励决策算法流程图。所述算法包含了对单个命令序列和多个命令序列激励的决策,方便验证系统产生单个命令序列和多个命令序列。当对单个命令序列决策时,序列个数为1,算法主要判断响应结束信号和DONE通知。当对多个命令序列决策时,则需增加对序列个数的判断。仿真周期结束前要清空通道内所有事务,以确保下次仿真能正确执行。图6显示了本发明的RFID标签芯片验证系统的自检查原理示意图。本发明的RFID标签芯片验证系统具有自动检查功能,免去了靠查看波形来查错的繁琐、费时的工作。一般来说,自检查验证系统有三种类型:黄金向量、参考模块、基于事务的验证。在黄金验证环境中,一些有效输出向量的知识库被存储在记分板中。检查器通过访问记分板获得预期的向量,并与DUT的结果相比较。考虑到标签芯片命令多,响应不同,不可能在记分板中预先保存所有响应结果。因此,黄金向量方法并不适用。而基于事务的验证环境适用于那些包含可以确认的事务的DUT,因此这种验证环境也不适用。参考模块是根据输入激励计算出预期的输出,这种验证环境适合标签芯片。因此本验证系统采用基于参考模块的自检查结构。参见图6,本发明RFID标签芯片验证系统的自检查系统包括激励发生器19、标签芯片接口 10、标签参考模块16、检查器17和命令层监视器14。激励发生器19的输出一方面作为标签芯片接口 10的输入,另一方面有作为标签参考模块16的输入。标签参考模块16获得激励输入后,输出相应的响应。命令层监视器14对标签芯片接口 10的输出进行译码后,把数据保存为事务格式,通过通道发送给检查器17。检查器从标签参考模块16和命令层监视器14获得输入,并比较二者是否相同。若相同,则匹配计数器加一,否则错误计数器加一。本发明的RFID标签芯片验证系统自检查结构主要用于检查以下内容:检查标签芯片接口的输出是否符合协议要求;检查标签对于错误命令的响应情况;检查标签对正确命令是否响应正确。如上所述,本发明的RFID标签芯片验证系统结构具有良好的可重用性。稍加修改验证系统接口部分,就可以用来验证符合其他协议的被测芯片。由于验证系统结构的特点,也可以经过修改后来验证其他设计,节省了重新开发验证系统的时间。应当理解的是,本发明的上述具体实施方式
仅仅用于示例性说明或解释本发明的原理,而不构成对本发明的限制。因此,在不偏离本发明的精神和范围的情况下所做的任何修改、等同替换、改进等,均应包含在本发明的保护范围之内。此外,本发明所附权利要求旨在涵盖落入所附权利要求范围和边界、或者这种范围和边界的等同形式内的全部变化和修改例。
权利要求
1.一种RFID标签芯片验证系统,所述验证系统包括: 信号层(I ),包括连接到待验证标签芯片的标签芯片接口( 10)和虚拟接口( 11 ),其中EEPROM接口读写信号传输到EEPROM验证IP (13),其它输入输出信号利用虚拟接口( 11)传输到总线功能模块(12)以及命令层监视器模块(14); 命令层(2),包括总线功能模块(12)、EEPROM验证IP (13)和命令层监视器(14),其中总线功能模块(12)的输入来自驱动器(15 ),输出连接到虚拟接口( 11),EEPROM验证IP( 13 )的输入输出只与标签芯片接口( 10)相连,命令层监视器(14)的输入来自虚拟接口( 11 ),输出连接到响应监视器(18); 功能层(3),包括驱动器(15)、标签参考模块(16)、检查器(17)和响应监视器(18),驱动器(15)的输入为激励发生器(19),输出给标签参考模块(16)、响应监视器(18)以及总线功能模块(12),标签参考模块(16)的输入来自驱动器(15)以及命令响应模块(21 ),输出连接到检查器(17),检查器(17)的输入来自标签参考模块(16 )、命令响应模块(21)和响应监视器(18); 场景层(4 ),包括激励发生器(19 )和命令响应模块(21); 测试层(5),包括测试案例(20)。
2.根据权利要求1所述的RFID标签芯片验证系统,其中, 所述总线功能模块(12),用于将从所述驱动器(15)接收的事务以一定的编码方式生成信号波形,发送到所述标签芯片接口(10); 所述命令层监视器(14),通过所述虚拟接口( 11)连接所述标签芯片接口( 10 ),用于把所述标签芯片接口(10)的输出波形还原为数据事务。
3.根据权利要求2所述的RFID标签芯片验证系统,所述命令层监视器(14)检测所述标签芯片接口(10)的输出是否符合规定的协议,以及将所述标签芯片接口(10)的输出波形转化为字节形式的信息。
4.根据权利要求3所述的RFID标签芯片验证系统,其中,所述协议包括IS0/IEC15693协议或者IS0/IEC14443协议。
5.根据权利要求2所述的RFID标签芯片验证系统,所述命令层监视器(14)基于解码算法把所述标签芯片接口(10)的输出波形还原为数据事务。
6.根据权利要求1所述的RFID标签芯片验证系统,其中, 所述驱动器(15)用于将从所述激励发生器(19)取得的事务发送给所述总线功能模块(12); 所述标签参考模块(16)基于系统验证工具systemverilog编写实现待验证标签芯片的功能; 所述检查器(17 )包括第一输入接口和第二输入接口,所述第一输入接口用于接收所述响应监视器(18)发送的响应,所述第二输入接口用于接收所述标签参考模块(16)发送来的事务,所述检查器(17)用于检查上述两个输入接口的信息是否一致,判断待验证的标签芯片的逻辑功能是否正确;以及 所述响应监视器( 18)用于接收命令层监视器(14)发送的事务并对其进行处理,然后发送给所述检查器(17)。
7.根据权利要求1所述的RFID标签芯片验证系统,所述激励发生器(19)基于激励产生决策算法来确定何时产生激励以及何时将激励发送到所述驱动器(15)。
8.根据权利要求1所述的RFID标签芯片验证系统,所述激励发生器(19)包括基元发生器和场景发生器,所述基元发生器产生单条命令帧,所述场景发生器生成命令序列。
9.根据权利要求1所述的RFID标签芯片验证系统,所述测试案例(20)包括:创建定向激励、发生器的附加约束、新的随机场景的定义、被测设计的状态监控或错误插入使能标O
10.一种RFID标签芯片的验证方法,用于在前述权利要求1-9中任一项所述的RFID标签芯片验证系统中运行,所述方法包括: 步骤1:编写测 试案例(20); 步骤2:调用激励发生器(19)产生相应信号; 步骤3:驱动器(15)将从激励发生器(19)取得的信号发送给总线功能模块(12); 步骤4:总线功能模块(12)将从驱动器(15)接收的信号通过一定的编码方式生成信号波形,施加于标签芯片接口(10); 步骤5:所述响应监视器(18)包括第一输入接口和第二输入接口,所述第一输入接口接收所述检查器(17)发送的响应,所述第二输入接口接收所述标签参考模块(16)发送来的事务; 步骤6:响应监视器(18)检查上述两个输入接口的信息是否一致,判断所述待验证的标签芯片的逻辑功能是否正确。
11.根据权利要求10所述的RFID标签芯片验证的方法,所述检查器(17)接收命令层监视器(14)发送的事务,并对其进行处理,然后发送给所述响应监视器(18)。
12.根据权利要求10所述的RFID标签芯片验证的方法,所述激励发生器(19),包含激励产生决策算法,决定何时产生激励以及何时将激励送入所述驱动器(15)。
13.根据权利要求10所述的RFID标签芯片验证的方法,其中所述测试案例(20)包括:创建定向激励、发生器的附加约束、新的随机场景的定义、被测设计的状态监控或错误插入使能标志。
14.根据权利要求10所述的RFID标签芯片验证的方法,所述命令层监视器(14)通过解码后将所述标签芯片接口(10)的输出波形还原为数据事务。
15.根据权利要求10所述的RFID标签芯片验证的方法,所述标签参考模块(16)用系统验证工具systemverilog编写实现待验证的标签芯片的功能。
16.根据权利要求10所述的RFID标签芯片验证的方法,所述激励发生器(19)产生单条命令或产生命令序列。
全文摘要
本发明涉及一种RFID标签芯片验证系统及验证方法。所述验证系统采用分层结构,自下至上方式搭建各层,包括信号层(1),命令层(2),功能层(3),场景层(4)及测试层(5)。本发明的验证系统及验证方法不仅能对单个命令进行验证,也能对特定的和随机的命令流进行验证,并自动检查验证结果。本发明验证系统的层次结构保证了代码重用性,解决了RFID标签芯片验证充分性的问题,同时提高了验证效率。
文档编号G06K17/00GK103198341SQ201310121529
公开日2013年7月10日 申请日期2013年4月9日 优先权日2013年4月9日
发明者王德明, 丁一, 丁颜玉, 胡建国 申请人:广州中大微电子有限公司
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1