集成电路设计方法与流程

文档序号:20687466发布日期:2020-05-08 18:56阅读:413来源:国知局
集成电路设计方法与流程

本揭示内容是关于一种设计方法,特别是关于一种集成电路的设计方法。



背景技术:

集成电路(ic:integratedcircuit)通常包括以ic布局图表示的众多半导体元件。ic布局图由ic示意图产生,诸如ic的电路图。在ic设计制程期间的各个步骤中,针对ic的实际制造自ic示意图至ic布局图,执行各个检查及测试以确保ic可按设计制造且将按设计运作。



技术实现要素:

本揭示内容的一实施方式是关于一种集成电路设计方法,其包含下列的操作。基于集成电路(ic:integratedcircuit)的区域的操作条件,决定区域的温度与加热功率之间的第一关系。基于ic的区域的冷却能力,决定ic的区域的温度与冷却功率之间的第二关系。基于第一关系及第二关系,决定ic的区域是否为热稳定。回应于决定ic的区域为热不稳定,改变ic的区域的结构或操作条件中的至少一者。通过处理器执行以下中的至少一者:决定第一关系;决定第二关系;决定ic的区域的热稳定性;或改变ic的区域的结构或操作条件中的至少一者。

附图说明

当结合随附附图阅读时,将自下文的详细描述最佳地理解本揭示案的态样。应注意,根据工业中的标准实务,并未按比例绘制各特征。事实上,为了论述清楚,可任意增加或减小各特征的尺寸。

图1是根据一些实施例的ic设计流程的至少一部分的功能流程图;

图2是根据一些实施例的ic布局图中的多个单元的示意性视图;

图3a至图3c是根据一些实施例的各个情况中单元的加热功率及冷却功率特性的示意性视图;

图4a至图4c是根据一些实施例的在改良之前及之后单元的加热及冷却功率特性的示意性视图;

图5a是ic布局图中的单元布局的示意性视图,及图5b是根据一些实施例的经改良的ic布局图中的经改良的单元布局的示意性视图;

图6a是ic布局图的示意性视图,及图6b是根据一些实施例的经改良的ic布局图的示意性视图;

图7是根据一些实施例的具有多个区域的ic布局图的示意性视图;

图8是根据一些实施例的方法的流程图;

图9是根据一些实施例的eda系统的方块图;

图10是根据一些实施例的ic制造系统及与其相关联的ic制造流程的方块图。

【符号说明】

100ic设计流程

110ic设计操作

120自动布局与布线操作

122功率规划操作

124单元布局操作

125单元库

126时钟树合成操作

128布线操作

130签核操作

150热分析

160箭头

170箭头

180箭头

190箭头

200ic布局图

310加热功率特性

320冷却功率特性

412经改良的加热功率特性

424经改良的冷却功率特性

426经改良的冷却功率特性

500aic布局图

500bic布局图

540区域

541单元

542单元

543单元

544空间

600aic布局图

600bic布局图

627虚设图案

628虚设图案

629虚设图案

640区域

700ic布局图

800方法

805操作

815操作

825操作

835操作

850制程

852操作

854操作

856操作

900eda系统

902处理器

904储存媒体

906指令

907标准单元库

908总线

910i/o接口

912网络接口

914网络

942ui信息

1000集成电路(ic)制造系统

1020设计室

1022ic设计布局图

1030遮罩室

1032数据准备

1044遮罩制造

1045遮罩

1050ic晶圆厂

1052晶圆制造

1053半导体晶圆

1060ic元件

具体实施方式

以下揭示内容提供许多不同实施例或实例,以便实施所提供的标的的不同特征。下文描述部件、材料、值、步骤、操作、材料、布置或类似者的特定实例以简化本揭示案。当然,此等仅为实例且不欲为限制性。涵盖其他部件、值、操作、材料、布置或类似者。举例而言,在下文的描述中,第一特征形成于第二特征上方或第二特征上可包括以直接接触形成第一特征与第二特征的实施例,且亦可包括可在第一特征与第二特征之间形成额外特征以使得第一特征与第二特征可不处于直接接触的实施例。另外,本揭示案可在各实例中重复元件符号及/或字母。此重复是出于简化与清楚目的,且本身并不指示所论述的各实施例及/或配置之间的关系。

此外,为了便于描述,本文可使用空间相对性术语(诸如“之下”、“下方”、“下部”、“上方”、“上部”及类似者)来描述诸图中所图示一个元件或特征与另一元件(或多个元件)或特征(或多个特征)的关系。除了诸图所描绘的定向外,空间相对性术语意欲包含使用或操作中元件的不同定向。设备可经其他方式定向(旋转90度或处于其他定向上)且因此可类似解读本文所使用的空间相对性描述词。

在ic设计过程中,一或更多个制造前验证是针对热产生及热耗散,以调节元件可靠性。当具有集成电路的半导体元件经受过高温度或热失控时,元件可靠性可能受到损害且元件故障的风险增加。在一些实施例中,决定ic的区域的加热及冷却功率特性,且基于加热及冷却功率特性决定此区域为热稳定或热不稳定。当决定此区域为热不稳定时,改良区域的结构或操作条件中的至少一者以实现区域中的热稳定性。作为结果,可以在单元阶层及/或ic设计过程的初期阶段识别ic中的热点。此是对于其他方法的改良,在其他方法中在系统阶层及/或ic设计过程的后期阶段执行热失控分析。

图1是根据一些实施例的ic设计流程100的至少一部分的功能流程图。设计流程100利用一或更多个电子设计自动化(ead:electronicdesignautomation)工具在制造ic之前产生、最佳化及/或验证ic的设计。在一些实施例中,eda工具为一组或更多组可执行指令,用于由处理器或控制器或程序化计算机执行以执行所指示的功能。在至少一个实施例中,通过本文关于图10论述的ic制造系统的设计室来执行ic设计流程100。

在ic设计操作110处,由电路设计者提供ic的设计。在一些实施例中,ic的设计包含ic的ic示意图,诸如电路图。在一些实施例中,示意图以示意性网表的形式产生或提供,诸如具有集成电路重点的模拟程序(spice:simulationprogramwithintegratedcircuitemphasis)网表。在一些实施例中,可使用其他数据格式以便描述设计。在一些实施例中,对设计执行布局前模拟以决定设计是否满足预定规格。当设计不满足预定规格时,重新设计ic。在至少一个实施例中,省略了布局前模拟。

在自动布局与布线(apr:automaticplacementandrouting)操作120处,基于ic示意图产生ic的布局图。ic布局图包含ic的各个电路元件的实体位置以及使电路元件互连的各个网的实体位置。例如,ic布局图以图形设计系统(gds:graphicdesignsystem)文件的形式产生。用于描述ic的设计的其他数据格式处于各个实施例的范畴内。在图1中的示例性配置中,通过eda工具(诸如apr工具)产生ic布局图。如本文所描述,apr工具以网表的形式接收ic的设计。apr工具执行底部规划以识别电路元件,此等电路元件将电连接至彼此且将彼此紧邻置放,以便减少ic的面积及/或减少信号越过连接电连接电路元件的互连件或网的时间延迟。在一些实施例中,apr工具执行分区,以将ic的设计划分成多个区块或群组,诸如时钟及逻辑群组。在图1中的示例性配置中,apr工具执行功率规划操作122、单元布局操作124、时钟树合成操作126及布线操作128。

在功率规划操作122处,apr工具基于ic设计的分区及/或底部规划执行功率规划,以产生功率网格结构,此功率网格结构包括数个导电层,诸如金属层。金属层的一个金属层包括在一个方向上(例如,在平面图中水平)延伸的功率线或功率轨道。金属层的另一个金属层包括在正交方向上(例如,在平面图中垂直)延伸的功率线或功率轨道。

在单元布局操作124处,apr工具执行单元布局。例如,在一或更多个单元库125中储存用以提供预定义功能且具有预先设计的布局图的标准单元(本文亦称为“单元”)。apr工具自一或更多个单元库125存取各个单元,且以邻接的方式置放单元以产生对应于ic示意图的ic布局图。

产生的ic布局图包括功率网格结构及多个单元,各个单元包括一或更多个电路元件及/或一或更多个网。电路元件为主动元件或被动元件。主动元件的实例包括但不限于晶体管及二极管。晶体管的实例包括但不限于金属氧化物半导体场效晶体管(mosfet:metaloxidesemiconductorfieldeffecttransistor)、互补金属氧化物半导体(cmos:complementarymetaloxidesemiconductor)晶体管、双极型接面晶体管(bjt:bipolarjunctiontransistor)、高压晶体管、高频晶体管、p通道及/或n通道场效晶体管(pfet/nfet:p-channelfieldeffecttransistor/n-channelfieldeffecttransistor)等)、鳍式场效晶体管(finfet:finfieldeffecttransistor)、具有凸起源极/漏极的平面金属氧化物半导体(mos:metaloxidesemiconductor)晶体管或类似者。被动元件的实例包括但不限于电容器、电感器、熔线及电阻器。网的实例包括但不限于通孔、导电垫、导电轨迹及导电重新分布层,或类似者。

在时钟树合成(cts:clocktreesynthesis)操作126处,apr工具执行cts以最小化因ic布局图中的电路元件的布局而可能存在的偏斜及/或延迟。cts包括最佳化制程以确保信号在适宜时序处发送及/或到达。例如,在cts内的最佳化制程期间,将一或更多个通孔插入到ic布局图中以添加及/或移除松弛(信号到达的时序)来实现所欲时序。

在布线操作128处,apr工具执行布线以对使置放的电路元件互连的各个网实施布线。执行布线以确保布线的互连件或网满足一组约束。例如,布线操作128包括全域布线、轨迹分配及详细布线。在全域布线期间,分配用于互连件或网的布线资源。例如,将布线区域划分成多个子区域,将置放的电路元件的引脚映射到子区域,且将网构造为子区域的集合,其中互连件为实体可布线的。在轨迹分配期间,apr工具将互连件或网分配给ic布局图的相应导电层。在详细布线期间,apr工具在分配的导电层中或全域布线资源内对互连件或网实施布线。例如,在全域布线处界定的子区域的相应集合内及轨迹分配处界定的导电层中产生详细的实体互连件。在布线操作128处,apr工具输出ic布局图,此ic布局图包括功率网格结构、置放的电路元件及布线网。所描述的apr工具为实例。其他布置处于各个实施例的范畴内。例如,在一或更多个实施例中,省略了所描述操作中的一者或更多者。

在签核操作130处,执行一或更多个实体及/或时序验证。例如,签核操作130包括以下中的一者或更多者:电阻与电容(rc:resistanceandcapacitance)提取;布局对示意图(lvs:layout-versus-schematic)检查;设计规则检查(drc:designrulecheck)及时序签核检查(亦称为布局后模拟)。在其他实施例中可使用其他验证制程。

例如通过eda工具执行rc提取,以决定ic布局图中的部件的寄生参数,例如寄生电阻及寄生电容,用于后续操作中的时序模拟。

执行lvs检查以确保产生的ic布局图对应于ic的设计。具体而言,lvs检查工具(亦即,eda工具)自产生的ic布局图的图案识别出电气部件以及之间的连接。随后,lvs检查工具产生布局网表,表示已识别的电气部件及连接。通过lvs检查工具将自ic布局图产生的布局网表与ic的设计的示意性网表比较。若两个网表在匹配公差内匹配,则通过lvs检查。否则,通过将制程返回到ic设计操作110及/或apr操作120对ic布局图或ic的设计中的至少一者实施修正。

例如通过eda工具执行drc,以确保ic布局图满足某些制造设计规则,亦即确保ic的可制造性。若违反一或更多个设计规则,则通过将制程返回到ic设计操作110及/或apr操作120对ic布局图或ic的设计中的至少一者实施修正。设计规则的实例包括但不限于:宽度规则,指定ic布局图中的图案的最小宽度;间隔规则,指定ic布局图中的相邻图案之间的最小间隔;面积规则,指定ic布局图中的图案的最小面积,等等。在一或更多个实施例中,设计规则中的至少一者为电压相关的。执行用以检查ic布局图与一或更多个电压相关设计规则的顺应性的drc称为vdrc。

例如通过eda工具执行时序签核检查(布局后模拟),以在考虑所提取的寄生参数的情况下决定ic布局图是否满足一或更多个时序需求的预定规格。若模拟指示ic布局图不满足预定规格,例如若寄生参数引发非所欲延迟,则通过将制程返回到ic设计操作110及/或apr操作120对ic布局图或ic的设计中的至少一者实施修正。否则,将ic布局图传递至制造或额外验证制程。

额外验证制程涉及热分析,随着ic的元件及导线尺寸缩小,热分析变得愈加重要,此进而极大地影响了ic的热耗散能力。热效应对ic具有负面影响。例如,过高的温度易于促进掺杂剂扩散,且有时在元件中导致高漏泄电流或短路,减少了元件的可靠性。

在一些其他方法中,在系统阶层处执行热分析,例如在签核操作130处执行。若热分析的结果不满足一或更多个设计规格,则可能需要耗时的改良。进一步地,在系统阶层处,ic的复杂性如此高以至于若ic的热分析未能满足设计规格,则将难以识别ic的一部分,此为ic作为整体无法热分析的主要原因。在本文描述的一些实施例中,可避免所描述的缺点。

在一些实施例中,热分析150由apr工具或由另一eda工具执行,且在单元或区块阶层处执行。例如,针对ic的区域而非整个ic执行热分析150。在至少一个实施例中,ic的区域包括标准单元。在至少一个实施例中,区域包括一组具有相同或相似类型或功能的标准单元区块,例如nand单元的区块或群组,或反相器单元的区块或群组,或时钟单元的区块或群组等。在至少一个实施例中,区域包括一组具有不同类型或功能的标准单元区块,此等标准单元经耦接以提供用于ic的功能或模组,例如通讯接口。用于将ic划分成多个区域以便热分析的其他布置处于各个实施例的范畴内。为了简单起见,在本文的一或更多个实施例的详细描述中,将标准单元或单元用作ic的区域的实例。

在一些实施例中,在初期设计阶段执行热分析150。在至少一个实施例中,在单元布局操作124与时钟树合成操作126之间执行热分析150,如图1中的箭头160所指示。在至少一个实施例中,在时钟树合成操作126与布线操作128之间执行热分析150,如图1中的箭头170所指示。在至少一个实施例中,在布线操作128与签核操作130之间执行热分析150,如图1中的箭头180所指示。在至少一个实施例中,在ic设计流程100中多次执行热分析150,例如在箭头160、170、180所指示的两个或更多个阶段。在至少一个实施例中,尽管已在单元布局操作124、时钟树合成操作126、布线操作128中的一者或更多者之后较早地执行了热分析150,但签核操作130仍包括系统阶层处的热分析。在至少一个实施例中,执行热分析150以决定一或更多个单元库125中的标准单元的热稳定性,如图1中的箭头190所指示。

如本文所描述,执行热分析150以决定ic的区域(诸如单元)的热稳定性。ic的单元中的热量由流过单元中的一或更多个主动元件的电流产生。随着热量耗散远离发热源,诸如单元中的一或更多个主动元件,发生单元冷却。当决定在单元的设计操作条件下未发生热失控时,单元为热稳定;否则,单元为热不稳定。热失控为单元中产生的加热功率不可控地超过单元的冷却功率的情况。

在一些实施例中,对于ic的连续操作单元,单元的加热功率对应于静态功率及动态功率的和。动态功率,亦称为主动功率,是将单元的输出自一个位准改变或切换至另一位准(例如,自低位准至高位准,或反之亦然)所需的功率。动态功率包括切换功率及内部功率。切换功率为切换期间单元的输出处所需的功率。内部功率为切换期间单元内部所需的功率。静态功率,亦称为漏泄功率,是单元消耗的功率,而与单元的输出处的切换活动无关。当切换单元时,及当单元处于稳定状态时,亦即当单元的输出保持在相同位准(例如,低位准或高位准任一者)时,皆存在漏泄功率。因此,通过以下方程式(1)决定单元的加热功率:

p加热=p切换+p内部+p漏泄(1)

其中p加热为加热功率,p切换为切换功率,p内部为内部功率,且p漏泄为单元的漏泄功率。切换功率及内部功率取决于单元负载,在此处如关于图2所描述地决定。

图2是根据一些实施例的ic的ic布局图200中的单元1及单元2的示意性视图。在至少一个实施例中,ic布局图200对应于作为关于图1所描述的单元布局操作124的结果获得的ic布局图。为了简单起见,图2省略了ic布局图200中的其他单元。

ic布局图200中的各个单元具有至少一个输入网in及至少一个输出网zn。在对应于ic布局图200的ic示意图中,将输入信号输入到各个单元的输入网in,通过包括单元中的一或更多个电路元件的内部电路改良或处理,且在单元的输出网zn处作为输出信号输出。进一步地,根据对应于ic布局图200的ic示意图,将单元1的输出网zn逻辑耦接至单元2的输入网in,以将单元1的输出信号作为输入信号供应至单元2。

在每个单元中,输入网in由相对于接地的输入电容表示,输出网zn由相对于接地的输出电容表示,且单元中的内部电路由相对于接地的内部电容表示。例如,单元1由输入电容c1、内部电容c2及输出电容c3表示。同样,单元2由输入电容c4、内部电容(未图示)及输出电容(未图示)表示。每个单元的输入电容、内部电容及输出电容为已知或可自一或更多个单元库125决定,在单元布局操作124中自此等单元库撷取单元。例如,c1、c2、c3及c4为已知或可自一或更多个单元库125决定。单元1的输出网zn与单元2的输入网in之间的互连由相对于接地的连接电容c5表示,且基于ic布局图200中的单元1与单元2之间的实体距离d估算c5。在至少一个实施例中,例如通过eda工具基于ic示意图中的逻辑连接及ic布局图200中单元1与单元2之间的实体距离d执行rc提取,以估算c5。

通过单元的输出网zn处的单元负载决定每个单元的切换功率。例如,基于单元1的输出网zn的输出电容c3以及单元2的输入电容c4与耦接至单元1的输出网zn的互连的连接电容c5决定单元1的单元负载。在单元1的输出网zn具有多个连接至多个其他单元的情况中,所有其他单元的输入电容以及单元1与另一单元之间的所有相应互连的连接电容构成单元1的单元负载。在至少一个实施例中,通过以下方程式(2)决定切换功率:

p切换=c负载×vdd2×f×β(2)

其中c负载为对应于单元的单元负载的电容,vdd为施加至单元的设计电源电压,f为将操作单元所处的设计操作频率,及β为取决于一或更多个因数的系数,例如单元的切换活动。所描述的决定切换功率的方法为实例。其他布置处于各个实施例的范畴内。

单元的内部功率是由单元输出处的切换期间短暂流过单元的短路电流引起的。在一些情况中,内部功率取决于单元的单元负载及/或内部电容。基于单元的单元负载及内部电容,决定单元的内部功率。

在至少一个实施例中,通过以下方程式(3)决定漏泄功率:

p漏泄=vdd×is0×eαt(3)

其中is0为自vdd穿过单元至接地的漏泄电流,α为与用于制造ic中的单元的制程/技术节点(例如,6nm、8nm或10nm)相关的系数,及t为单元的当前温度。在至少一个实施例中,在一或更多个单元库125中指定is0及α中的一者或更多者,在单元布局操作124期间自单元库撷取单元。在至少一个实施例中,通过半导体工厂的实验获得is0及α中的一者或更多者。在至少一个实施例中,通过模拟获得is0及α中的一者或更多者。is0及/或α取决于各个制造相关因数,诸如形成单元中的主动元件的晶体管的纵横比、大小及/或通道掺杂浓度。

基于方程式(1)及方程式(3),通过以下方程式(4)决定单元的加热功率:

p加热=p切换+p内部+vdd×is0×eαt(4)。

在一些实施例中,通过以下方程式(5)决定单元的冷却功率:

其中p冷却为单元的冷却功率,ta为根据ic设计操作单元的环境温度,及rth为单元的热阻。在至少一个实施例中,ta为室温。

单元的热阻为单元冷却能力的指示物。冷却能力是单元将热量自发热源(诸如单元中的主动元件)沿耗散路径耗散到周围大气中的能力的度量。在一些情况中,热量耗散穿过单元中的各个层及/或部件,此等层及/或部件包括但不限于介电材料、基板(例如,si基板)、互连件、接触通孔及诸如金属的导电材料的虚设图案。尽管将互连件及接触通孔电连接至单元中的各个电路元件或至ic的其他单元以提供功率、时钟及/或信号传输,但在至少一个实施例中,虚设图案并未设计用于此功率、时钟及/或信号传输。在至少一个实施例中,将虚设图案包括在ic中的一或更多个层中以便热耗散。在一实例中,当在基板的一侧(例如,顶侧)提供ic的电路元件时,在基板的另一侧(例如,底侧)提供虚设图案或金属层,以促进热耗散至周围环境。在至少一个实施例中,通过半导体工厂的实验及/或通过模拟获得单元的热阻。在至少一个实施例中,在一或更多个单元库125中指定单元的热阻。

图3a至图3c是根据一些实施例的各个情况中单元的加热功率特性310及冷却功率特性320的示意性视图。加热功率特性310为单元的温度与加热功率之间的关系。冷却功率特性320为单元的温度与冷却功率之间的关系。加热功率特性310指示加热功率如何随单元的当前温度t而变化。在图3a至图3c中的示例性配置中,根据漏泄功率的指数分量,加热功率特性310中的加热功率随当前温度t呈指数变化,如方程式(3)及方程式(4)中所指示。冷却功率特性320指示冷却功率如何随单元的当前温度t而变化。在图3a至图3c中的示例性配置中,根据方程式(5),冷却功率特性320中的冷却功率随当前温度t呈线性变化。冷却功率特性320穿过座标原点,为了简单起见,座标原点位于ta处,根据方程式(5),在此处冷却功率为零。所描述的特定加热功率特性310及冷却功率特性320为实例。对应于用于估算及/或决定加热功率及/或冷却功率的其他方法的其他加热功率及/或冷却功率特性处于各个实施例的范畴内。

在图3a中的示例性情况中,观察到热失控且单元为热不稳定。如图3a中可见,加热功率特性310及冷却功率特性320不具有共同点。具体而言,加热功率特性310在任何温度下皆比冷却功率特性320高,此意谓单元的加热功率在任何温度下皆大于单元的冷却功率。在单元的操作中,随着单元的温度自ta升高,单元的冷却能力不足以耗散由单元的加热功率产生的热量,且单元的温度不可控地向上驱动。不可控地升高的温度引发单元及潜在地围绕单元的ic的其他元件最终失效,进而对ic的可靠性产生负面影响。

在图3b中的示例性情况中,在至少一个实施例中决定单元为热稳定。如图3b中可见,加热功率特性310及冷却功率特性320在温度tb下具有一个共同点,在此温度下加热功率等于冷却功率。温度tb,亦称为稳定点温度,满足由条件p加热在tb下等于p冷却得出的以下方程式(6),以及方程式(4)及方程式(5):

在单元的操作中,随着单元的温度自ta升高,单元的冷却能力足以减少单元与周围环境之间的温差,直到单元的温度达到tb,在tb处通过冷却功率耗散由加热功率产生的所有热量。理论上,单元的温度无法进一步自tb升高,因为由加热功率产生的所有热量在tb处耗散,亦即未留下任何热量来进一步升高单元的温度。然而,存在一种担忧,单元或ic的其他元件的操作中的一些变化可能将更多热量引入至单元且引发单元的温度进一步升高超过tb。在此情况中,冷却功率将不足以耗散由超过tb的加热功率产生的热量,导致最终发生热失控。

尽管如此,实际上,ic经常与外部冷却装置一起使用,外部冷却装置为被动冷却装置,诸如散热片,或主动冷却装置,诸如风扇,或液体冷却设备。外部冷却装置降低了环境温度ta,以类似于本文描述的图4c的方式有效地将冷却功率特性320移动至图3b中的左侧。作为结果,如关于图3c所描述的,将实现更加热稳定的操作。因此,在一些实施例中,尽管设计阶段的热分析指示对于单元存在理论上的稳定点温度tb(有些担忧可能发生热失控),但认为在使用外部冷却装置下单元为热稳定。在至少一个实施例中,当决定加热功率特性310及冷却功率特性320具有一个共同点时,由执行热分析150的eda工具例如经由本文描述的i/o接口输出一指示,以促使设计者或终端使用者将ic与外部冷却装置一起使用。在至少一个实施例中,执行热分析150的eda工具例如经由i/o接口进一步输出对应于tb的功率值p最大作为单元的最大容许功率值,亦即在比p最大高的加热功率下不操作单元。

在图3c中的示例性情况中,在理论及实践两者上决定单元为热稳定。如

图3c中可见,对应于方程式(6)的多个解,在较低温度tb及较高温度tc下,加热功率特性310及冷却功率特性320具有多于一个共同点。决定tb为稳定点温度,而决定tc为不稳定。在单元的操作中,随着单元的温度自ta升高,单元的冷却能力足以减少单元与周围环境之间的温差,直到单元的温度达到tb,在tb处通过冷却功率耗散由加热功率产生的所有热量。理论上,如本文所论述,单元的温度无法进一步自tb升高。即使单元的温度进一步升高超过tb,例如达到tb与tc之间的温度td,tb与td之间的冷却功率比相应加热功率高,且将单元的温度降低回tb,甚至无需外部冷却装置。因此,在理论及实践两者上,皆认为tb对于单元为热稳定。另一方面,由于在超过tc的温度下存在热失控的风险,认为tc为不稳定。在至少一个实施例中,执行热分析150的eda工具例如经由i/o接口输出对应于tb的功率值p最大作为单元的最大容许功率值,亦即在比p最大高的加热功率下不操作单元。

在关于图3b及图3c描述的情况中,尤其是关于图3c描述的情况,热失控为可避免的且单元为热稳定。在冷却功率满足或超过加热功率的稳定点温度tb下或附近,具体而言单元及大体而言ic将在ic的使用寿命内以较高效率及较高可靠性操作。

在关于图3a描述的情况中,可能存在热失控且单元为热不稳定。如本文所描述,在一些实施例中,执行对单元的结构或操作条件中的至少一者的改良。

图4a至图4c是根据一些实施例的在改良之前及之后单元的加热及冷却功率特性的示意性视图。实施改良以避免关于图3a描述的情况中潜在的热失控。

在图4a中的示例性配置中,将初始加热功率特性310改良(亦即,降低)为经改良的加热功率特性412,此经改良的加热功率特性与冷却功率特性320具有至少一个共同点。在图4a中图示具有两个共同点的情况。因此,在改良之后,以类似于图3c的方式实现热稳定性且获得稳定点温度tb。

降低加热功率特性的实例将用具有相同功能但具有较低加热功率的另一单元替换功率分析下的单元。

在包括多个单元的区域中,降低区域的加热功率特性的实例将变更区域的单元布局以具有高加热功率的一或更多个单元移出区域,如关于图5a至图5b所描述。

降低加热功率特性的另一实例将降低设计单元操作的操作条件。操作条件的实例包括但不限于电源电压vdd、操作频率f及单元负载。如自方程式(2)可见,减小电源电压vdd、操作频率f或单元负载c负载中的任何一者或更多者减小了切换功率p切换,进而减小了加热功率。在一些实施例中,减小电源电压vdd或操作频率f中的至少一者包括改变单元及/或包含单元的区域及/或作为整体的ic的功率规格。在一些实施例中,减小单元负载包括缩短单元的输出zn与其他单元的输入之间的互连件,如关于图2所描述。

在图4b中的示例性配置中,将初始冷却功率特性320改良为经改良的冷却功率特性424,此经改良的冷却功率特性与加热功率特性310具有至少一个共同点。在图4b中图示具有两个共同点的情况。因此,在改良之后,以类似于图3c的方式实现热稳定性且获得稳定点温度tb。改良冷却功率特性的实例将减小单元或区域的热阻,进而将冷却功率自冷却功率特性320增加至经改良的冷却功率特性424。在至少一个实施例中,减小热阻包括将一或更多个虚设图案添加至单元或区域,如关于图6a至图6b所描述。

在图4c中的示例性配置中,将初始冷却功率特性320改良为经改良的冷却功率特性426,此经改良的冷却功率特性与加热功率特性310具有至少一个共同点。在图4c中图示具有两个共同点的情况。因此,在改良之后,以类似于图3c的方式实现热稳定性且获得稳定点温度tb。改良冷却功率特性的实例将外部冷却装置与ic一起使用。外部冷却装置降低了环境温度ta,有效地将冷却功率特性320移动至图4c中的左侧以获得经改良的冷却功率特性426。此类似于在关于图3b描述的情况中将外部冷却装置与ic一起使用。

图5a是ic布局图500a中的单元布局的示意性视图,及图5b是根据一些实施例的经改良的ic布局图500b中的经改良的单元布局的示意性视图。ic布局图500a包括区域540,在此区域中布置多个单元541、542、543。区域540经历热分析150,热分析决定区域540为热不稳定。如在关于图4a描述的实例中,对ic布局图500a实施改良以降低区域540的加热功率来实现热稳定性。改良包括变更区域540的单元布局以将具有高加热功率的一或更多个单元移出区域540。例如,将单元543及其他单元(未编号)移出区域540。作为结果,如图5b所示,获得区域540中具有较低加热功率的经改良的ic布局图500b。进一步地,在图5b中,增加区域540的单元之间的空间以移除或减少热点,且促进热耗散。例如,在相邻单元541、542之间产生空间544,同时将单元541、542保持在区域540中。

在至少一个实施例中,针对区域540局部地执行单元布局的变更。因此,与针对整个ic布局图500a或其实质者重新执行单元布局相比,减少了处理时间。用于变更单元布局的方法为使用不同的布局与布线演算法,此导致先前拥挤的单元散布在较宽区域中。用于变更单元布局的另一方法是使用与单元布局操作124相同的布局与布线演算法,但具有不同时序需求及/或单元布局优先权,具有使先前拥挤的单元散布在较宽区域中的相似结果。用于变更单元布局的其他方法处于各个实施例的范畴内。

图6a是ic布局图600a的示意性视图,及图6b是根据一些实施例的经改良的ic布局图600b的示意性视图。ic布局图600a包括区域640。区域640经历热分析150,热分析决定区域640为热不稳定。如在关于图4b描述的实例中,对ic布局图600a实施改良以减小区域640的热阻来实现热稳定性。改良包括添加一或更多个虚设图案627至区域640或区域640周围。例如,将一或更多个虚设图案628添加至区域640及/或在区域640周围添加一或更多个虚设图案629。作为结果,如图6b所示,获得区域640中具有较低热阻及相应较高冷却功率的经改良的ic布局图600b。

在至少一个实施例中,针对区域640或在区域640的附近局部地执行虚设图案的添加,区域640为根据对区域640执行的热分析具有热失控风险的热点。因此,与将改良整个ic布局图600a或其实质者相比,减少了处理时间。在至少一个实施例中,添加一或更多个虚设图案作为布线操作的一部分。

图7是根据一些实施例的具有多个区域的ic布局图700的示意性视图。在图7中的示例性配置中,ic布局图700包括具有各个大小的多个区域s1、s2、……、sn,例如如区域s1、s3及s5处所示。与其他方法中的整个系统测试相对比,在功率分析中个别地测试区域。发现热不稳定的区域(例如,图7中的区域s5)经识别为ic操作中的潜在热点,且与其他方法中的整个系统相对比,在热点区域中个别地实施改良。作为结果,与其他方法相比,可实现更精确的功率分析,且减少了处理时间。

在至少一个实施例中,当经历热分析的所有区域经发现或改良为热稳定时,针对每个区域决定对应于无热失控风险的最大容许功率值p最大。通过eda工具获得及输出所有区域的最大容许功率值p最大的和,例如经由i/o接口,作为ic的晶片(或系统)阶层处的总目标功率值。在一或更多个实施例中,此总目标功率值帮助ic设计者在晶片阶层处微调ic的功率规格。

图8是根据一些实施例的方法800的流程图。在至少一个实施例中,方法800对应于热分析150,且通过本文所描述的处理器全部或部分地执行。

在操作805处,基于ic的区域的操作条件,决定区域的温度与加热功率之间的第一关系。例如,决定加热功率特性310作为单元的温度与加热功率之间的第一关系,如在关于图3a至图3c描述的示例性情况中所图示。在一些实施例中,通过以下方程式(7),将温度t下的加热功率决定为温度t下供应给单元的总功率p总:

p加热=p总=vdd×i(t)(7)

其中i(t)为在单元操作期间温度t下供应给单元的电流。在至少一个实施例中,i(t)是通过工厂的实验或通过模拟在数个温度值下获得的。例如,可通过使用模型的spice模拟来获得i(t),在模型中嵌入单元的温度与电流之间的函数。

在操作815处,基于区域的冷却能力,决定区域的温度与冷却功率之间的第二关系。例如,决定冷却功率特性320作为单元的温度与冷却功率之间的第二关系,如在关于图3a至图3c描述的示例性情况中所图示。在一些实施例中,基于方程式(5)决定冷却功率。

在操作825处,基于第一关系及第二关系,决定区域是否为热稳定。例如,考虑加热功率特性310及冷却功率特性320来决定加热功率特性310及冷却功率特性320是否具有共同点。若存在,共同点tb为方程(6)的解。当加热功率特性310及冷却功率特性320具有至少一个共同点时,如在关于图3b至图3c描述的示例性情况中所图示,决定单元为热稳定。当加热功率特性310及冷却功率特性320不具有共同点时,如在关于图3a描述的示例性情况中所图示,决定单元为热不稳定。

在操作835处,回应于决定区域为热不稳定,改变区域的结构或操作条件中的至少一者。改变区域或单元的结构的实例包括但不限于:变更至少一个单元的布局,如关于图4a、图5a、图5b所描述;在区域或单元中或周围添加虚设图案,如关于图4b、图6a、图6b所描述;用具有相同功能但具有较低加热功率的另一单元替换至少一个单元,如关于图4a所描述。改变区域或单元的操作条件的实例包括但不限于改变电源电压vdd或操作频率f,如关于图4a所描述。

在至少一个实施例中,操作805处的区域的温度与加热功率之间的关系由重复执行多次迭代的制程850决定,迭代中的每一者包括操作852、854、856。制程850有用的情况为通过方程式(7)自vdd及i(t)获得p加热不实际或不可行时。例如,当待经历热分析的区域为具有大量电路元件(例如,数千个或甚至数百万个晶体管)的完整晶片或晶片的一部分或一区块时,自模拟获得电流i(t)为不实际或不可行。在此类情况中,通过制程850及方程式(1)在各个温度下决定p加热,亦即:

p加热=p切换+p内部+p漏泄(1)。

具体而言,在操作852处,计算各个功率值。在至少一个实施例中,基于如关于图2所描述的方程式(2)及单元负载决定p切换,基于如本文所描述的单元负载、内部电容及短路电流决定p内部,且使用方程式(3)在区域的温度t下决定p漏泄。根据方程式(1)的p切换、p内部及p漏泄的和提供在区域的温度t下的p加热的值。

在操作854处,通过以下方程式(8)决定对应于所计算的漏泄功率的温度增量δt:

在操作856处,通过δt更新温度t,例如增加δt。在制程流程返回到操作852时,在下一次迭代中使用更新的温度。作为结果,对于更新的温度获得另一p加热的值,且将该值与更新的温度相关联记录在表格中。

在数次迭代之后,获得多对t及p加热的值并记录在表格中,且用以产生区域的t与p加热之间的关系,用于方法800的热分析中的后续操作。

在至少一个实施例中,自动执行方法800的所有操作,而无需使用者输入或干预。

所描述的方法包括示例性操作,但不一定需要以所示次序执行此等操作。根据本揭示案的实施例的精神及范畴,可视情况添加操作、替换操作、改变操作次序及/或移除操作。组合不同特征及/或不同实施例的实施例处于本揭示案的范畴内且将在审阅本揭示案之后对熟悉此项技术者显而易见。

在一些实施例中,在设计阶段期间的热分析中评估用于形成ic的标准单元,以便确保稍后形成的ic不会经历热失控。此类热分析允许eda工具在单元阶层及/或ic设计过程的初期阶段识别ic中的热点。此是对于其他方法的改良,在其他方法中在系统阶层及/或ic设计过程的后期阶段评估热效能。在一些实施例中,识别用于避免热失控的各个标准单元的最大容许功率值,且此等值用以识别ic的目标总功率,进而可用于微调晶片或系统阶层的功率规格。

在一些实施例中,上文论述的至少一种方法全部或部分地通过至少一个eda系统执行。在一些实施例中,ead系统可用作下文论述的ic制造系统的设计室的一部分。

图9是根据一些实施例的eda系统900的方块图。在一些实施例中,eda系统900包括自动布置与布线(apr)系统。

在一些实施例中,eda系统900为通用计算装置,包括硬件处理器902及非暂时性计算机可读取储存媒体904。其中,用计算机程序码906(亦即,一组可执行指令)对储存媒体904编码,亦即,储存媒体储存计算机程序码。由硬件处理器902执行指令906(至少部分地)表示eda工具,此eda工具实施根据一或更多个实施例的本文描述的方法的一部分或全部(在下文中为所述制程及/或方法)。

经由总线908将处理器902电耦接至计算机可读取储存媒体904。亦通过总线908将处理器902电耦接至i/o接口910。亦经由总线908将网络接口912电连接至处理器902。将网络接口912连接至网络914,使得处理器902及计算机可读取储存媒体904能够经由网络914连接至外部元件。处理器902用以执行在计算机可读取储存媒体904中编码的计算机程序码906以便引发eda系统900执行所述制程及/或方法中的一部分或全部。在一或更多个实施例中,处理器902为中央处理单元(cpu:centralprocessingunit)、多处理器、分布式处理系统、特殊应用集成电路(asic:applicationspecificintegratedcircuit)及/或适宜处理单元。

在一或更多个实施例中,计算机可读取储存媒体904为电子、磁性、光学、电磁、红外及/或半导体系统(或设备或装置)。例如,计算机可读取储存媒体904包括半导体或固态记忆体、磁带、可移计算机盘片、随机存取记忆体(ram:randomaccessmemory)、只读记忆体(rom:read-onlymemory)、刚性磁盘及/或光盘。在使用光盘的一或更多个实施例中,计算机可读取储存媒体904包括压缩光盘-只读记忆体(compactdisk-readonlymemory;cd-rom)、压缩光盘-读取/写入(cd-r/w:compactdisk-read/write)及/或数位视讯光盘(dvd:digitalvideodisc)。

在一或更多个实施例中,储存媒体904储存计算机程序码906,计算机程序码用以引发eda系统900(其中此类执行(至少部分地)表示eda工具)可用于执行所述制程及/或方法中的一部分或全部。在一或更多个实施例中,储存媒体904亦储存信息,此信息促进执行所述制程及/或方法中的一部分或全部。在一或更多个实施例中,储存媒体904储存标准单元库907。

eda系统900包括i/o接口910。在一或更多个实施例中,i/o接口910包括输入装置、输出装置及/或组合的输入/输出装置,以便赋能使用者及/或外部电路系统/设备与eda系统900互动。输入装置包含例如键盘、键板、鼠标、追踪球、追踪板、触控屏幕及/或游标方向键,以便传递信息及命令至处理器902。输出装置包含例如显示器、打印机、语音合成器等,以便传递信息至使用者。

eda系统900亦包括耦接至处理器902的网络接口912。网络接口912允许eda系统900与网络914通讯,一或更多个其他计算机系统连接至此网络。网络接口912包括无线网络接口,诸如蓝芽、wifi、wimax、gprs或wcdma;或有线网络接口,诸如乙太网络、usb或ieee-1364。在一或更多个实施例中,在两个或更多个eda系统900中实施所述制程及/或方法中的一部分或全部。

eda系统900用以经由i/o接口910接收信息。经由i/o接口910接收的信息包括以下中的一者或更多者:指令、数据、设计规则、标准单元库及/或由处理器902处理的其他参数。经由总线908将信息传送至处理器902。eda系统900用以经由使用者界面(userinterface;ui)向使用者输出信息及/或自使用者接收信息。ui包含i/o接口910及储存在计算机可读取储存媒体904中的与ui相关的信息作为ui信息942。

在一些实施例中,将所述制程及/或方法中的一部分或全部实现为由处理器执行的独立软件应用程序。在一些实施例中,将所述制程及/或方法中的一部分或全部实现为额外软件应用程序的一部分的软件应用程序。在一些实施例中,将所述制程及/或方法中的一部分或全部实现为软件应用程序中的插件。在一些实施例中,将所述制程及/或方法中的至少一者实现为eda工具的一部分的软件应用程序。在一些实施例中,将所述制程及/或方法中的一部分或全部实现为由eda系统900使用的软件应用程序。在一些实施例中,使用诸如可购自cadencedesignsystems,inc.的的工具或另一适宜布局产生工具来产生包括标准单元的布局图。

在一些实施例中,此等制程经实现为储存在非暂时性计算机可读取记录媒体中的程序的功能。非暂时性计算机可读取记录媒体的实例包括但不限于外部/可移除及/或内部/内置储存器或记忆体单元,例如以下中的一者或更多者:光盘,诸如dvd;磁盘,诸如硬盘;半导体记忆体,诸如rom、ram、记忆体卡及类似者。

图10是根据一些实施例的集成电路(ic)制造系统1000及与其相关联的ic制造流程的方块图。在一些实施例中,基于布局图,使用制造系统1000制造以下的至少一者:(a)一或更多个半导体遮罩或(b)半导体集成电路的层中的至少一个部件。

在图10中,ic制造系统1000包括在设计、开发及制造循环及/或与制造ic元件1060相关的服务中彼此互动的实体,诸如设计室1020、遮罩室1030及ic制造商/制造厂(“晶圆厂”)1050。系统1000中的实体通过通讯网络连接。在一些实施例中,通讯网络为单个网络。在一些实施例中,通讯网络为多种不同的网络,诸如内部网络及网际网络。通讯网络包括有线及/或无线通讯通道。每一实体与其他实体中的一者或更多者互动并向其他实体中的一者或更多者提供服务及/或接收来自其他实体中的一者或更多者的服务。在一些实施例中,设计室1020、遮罩室1030及ic晶圆厂1050中的两者或更多者由单个较大公司所有。在一些实施例中,设计室1020、遮罩室1030及ic晶圆厂1050中的两者或更多者共存于共同设施中且使用共同资源。

设计室(或设计团队)1020产生ic设计布局图1022。ic设计布局图1022包括为ic元件1060所设计的各个几何图案。几何图案对应于金属、氧化物或半导体层的图案,此等层构成待制造的ic元件1060的各个部件。各个层组合以形成各个ic特征。例如,ic设计布局图1022的一部分包括待形成于半导体基板(诸如硅晶圆)中的各个ic特征,诸如主动区域、闸电极、源极与漏极、层间互连的金属线或通孔及用于粘结衬垫的开口,以及安置在半导体基板上的各个材料层。设计室1020实施适宜设计程序以形成ic设计布局图1022。设计程序包括逻辑设计、实体设计或布局与布线中的一者或更多者。在具有几何图案的信息的一或更多个数据文件中呈现ic设计布局图1022。例如,ic设计布局图1022以gdsii文件格式或dfii文件格式表示。

遮罩室1030包括数据准备1032及遮罩制造1044。遮罩室1030使用ic设计布局图1022来制造一或更多个遮罩1045以用于根据ic设计布局图1022制造ic元件1060的各个层。遮罩室1030执行遮罩数据准备1032,其中将ic设计布局图1022转换为代表性数据文件(rdf:representativedatafile)。遮罩数据准备1032将rdf提供给遮罩制造1044。遮罩制造1044包括遮罩写入器。遮罩写入器将rdf转换为基板上的影像,诸如遮罩(主光罩)1045或半导体晶圆1053。设计布局图1022由遮罩数据准备1032操纵,以符合遮罩写入器的特定特性及/或ic晶圆厂1050的需求。在图10中,将遮罩数据准备1032及遮罩制造1044图示为单独元件。在一些实施例中,遮罩数据准备1032及遮罩制造1044可统称为遮罩数据准备。

在一些实施例中,遮罩数据准备1032包括光学邻近校正(opc:opticalproximitycorrection),此opc使用微影增强技术来补偿影像误差,诸如可由绕射、干扰、其他制程效应及类似者引起的影像误差。opc调整ic设计布局图1022。在一些实施例中,遮罩数据准备1032包括进一步的解析度增强技术(ret:resolutionenhancementtechniques),诸如轴外照射、子解析度辅助特征、相移遮罩、其他适宜技术及类似者或上述的组合。在一些实施例中,亦使用反向微影技术(ilt:inverselithographytechnology),此技术将opc处理为反向成像问题。

在一些实施例中,遮罩数据准备1032包括遮罩规则检查器(mrc:maskrulechecker),此mrc利用一组遮罩产生规则检查在opc中经历制程的ic设计布局图1022,此组遮罩产生规则包含某些几何及/或连接限制以确保足够的边限,以便考虑到半导体制造制程中的可变性及类似者。在一些实施例中,mrc改良ic设计布局图1022以补偿遮罩制造1044期间的限制,此举可使由opc执行的改良的一部分失效以便满足遮罩产生规则。

在一些实施例中,遮罩数据准备1032包括微影制程检查(lpc:lithographyprocesschecking),此lpc模拟将由ic晶圆厂1050实施以制造ic元件1060的处理。lpc基于ic设计布局图1022模拟此处理以产生模拟制造元件,诸如ic元件1060。lpc模拟中的处理参数可包括与ic制造循环的各个制程相关联的参数,与用于制造ic的工具相关联的参数,及/或制造制程的其他态样。lpc考虑各个因数,诸如空间影像对比度、焦点深度(dof:depthoffocus)、遮罩误差增强因数(meef:maskerrorenhancementfactor)、其他适宜因数及类似者或上述的组合。在一些实施例中,在lpc已产生模拟制造元件之后,若模拟元件在形状上不够接近于满足设计规则,则重复opc及/或mrc以进一步细化ic设计布局图1022。

应理解,出于清楚目的,已简化遮罩数据准备1032的上文描述。在一些实施例中,数据准备1032包括额外特征,诸如逻辑运算(lop:logicoperation)以根据制造规则改良ic设计布局图1022。另外,可以各种不同次序执行在数据准备1032期间应用于ic设计布局图1022的制程。

在遮罩数据准备1032之后且在遮罩制造1044期间,基于经改良的ic设计布局图1022制造遮罩1045或一组遮罩1045。在一些实施例中,遮罩制造1044包括基于ic设计布局图1022执行一或更多次微影曝光。在一些实施例中,使用电子束(电子束)或多个电子束的机构以基于经改良的ic设计布局图1022在遮罩(光罩或主光罩)1045上形成图案。可以各个技术形成遮罩1045。在一些实施例中,使用二元技术形成遮罩1045。在一些实施例中,遮罩图案包括不透明区域及透明区域。用于暴露已涂覆于晶圆上的影像敏感材料层(例如,光阻剂)的辐射束(诸如紫外线(uv:ultraviolet)束)被不透明区域阻挡及透射穿过透明区域。在一个实例中,遮罩1045的二元遮罩版本包括透明基板(例如,熔融石英)及涂覆于二元遮罩的不透明区域中的不透明材料(例如,铬)。在另一实例中,使用相移技术形成遮罩1045。在遮罩1045的相移遮罩(psm:phaseshiftmask)版本中,在相移遮罩上形成的图案中的各个特征用以具有适宜的相位差来增强解析度与成像品质。在各个实例中,相移遮罩可为衰减的psm或交替的psm。在各种制程中使用由遮罩制造1044产生的遮罩。例如,在离子布植制程中使用此类遮罩以在半导体晶圆1053中形成各个掺杂区域,在蚀刻制程中使用以在半导体晶圆1053中形成各个蚀刻区域,及/或在其他适宜制程中使用。

ic晶圆厂1050包括晶圆制造1052。ic晶圆厂1050为ic制造业务,包括用于制造各种不同ic产品的一或更多个制造设施。在一些实施例中,ic晶圆厂1050为半导体工厂。例如,可能存在用于多个ic产品的前段制造的制造设施(前段制程(feol:front-end-of-line)制造),而第二制造设施可提供用于ic产品的互连及封装的后段制造(后段制程(beol:back-end-of-line)制造),以及第三制造设施可提供针对工厂业务的其他服务。

ic晶圆厂1050使用由遮罩室1030制造的遮罩1045来制造ic元件1060。因此,ic晶圆厂1050至少间接地使用ic设计布局图1022来制造ic元件1060。在一些实施例中,半导体晶圆1053由ic晶圆厂1050使用遮罩1045来制造以形成ic元件1060。在一些实施例中,ic制造包括至少间接地基于ic设计布局图1022执行一或更多次微影曝光。半导体晶圆1053包括硅基板或其上形成有材料层的其他适宜基板。半导体晶圆1053进一步包括(在后续制造步骤中形成的)各个掺杂区域、介电特征、多阶层互连及类似者中的一者或更多者。

关于集成电路(ic)制造系统(例如,图10的系统1000)及与其相关联的ic制造流程的细节可例如在2016年2月9日授权的美国专利案第9,256,709号、2015年10月1日公开的美国授权前公开案第20150278429号、2014年2月6日公开的美国授权前公开案第20140040838号及2007年8月21日授权的美国专利案第7,260,442号中找到,此等申请案中的每一者的全文以引用的方式并入本文。

在根据一些实施例的集成电路设计方法中,基于集成电路(ic)的区域的操作条件,决定ic的区域的温度与加热功率之间的第一关系。基于ic的区域的冷却能力,决定ic的区域的温度与冷却功率之间的第二关系。基于第一关系及第二关系,决定ic的区域是否为热稳定。回应于决定ic的区域为热不稳定,改变ic的区域的结构或操作条件中的至少一者。通过处理器执行以下中的至少一者:决定第一关系;决定第二关系;决定区域的热稳定性;或改变区域的结构或操作条件中的至少一者。

在各种不同的实施例中,上述的方法中,改变的步骤包含通过以下步骤中的至少一者改变ic的区域的结构:变更ic的区域中的至少一个单元的布局,在ic的区域中或周围添加虚设图案,或用具有相同功能但具有较低加热功率的另一单元替换ic的区域中的至少一个单元。

在各种不同的实施例中,上述的方法中,改变的步骤包含以下步骤:通过降低ic的区域的操作电压、操作频率或负载中的至少一者改变ic的区域的操作条件。

在各种不同的实施例中,上述的方法中,ic的区域包含自单元库撷取的至少一个标准单元。

在各种不同的实施例中,上述的方法中,决定ic的区域是否为热稳定的步骤包含以下步骤:回应于第一关系及第二关系具有至少一个共同点,决定ic的区域为热稳定,以及回应于第一关系及第二关系不具有共同点,决定ic的区域为热不稳定。

在各种不同的实施例中,上述的方法更包含以下步骤:回应于第一关系及第二关系在一或更多个相应功率值处具有一或更多个共同点,输出指示,指示一或更多个相应功率值中的最低功率值为ic的区域的最大容许功率值。

在各种不同的实施例中,上述的方法中,区域为ic的多个区域中的一者。方法进一步包含以下步骤:输出ic的目标总功率,目标总功率对应于ic的该些区域的最大容许功率值的和。

在各种不同的实施例中,上述的方法更包含以下步骤:回应于第一关系及第二关系仅具有一个共同点,输出指示以在使用中将外部冷却装置添加至ic。

在各种不同的实施例中,上述的方法中,决定第一关系的步骤包含以下步骤:在多次迭代中的每次迭代重复执行操作,操作包括以下步骤:在温度值下计算区域的漏泄功率及加热功率,计算对应于所计算的漏泄功率的温度增量,以及基于所计算的温度增量,更新温度值用于该些迭代中的下一次迭代。

在各种不同的实施例中,上述的方法中,在该些迭代中的每次迭代,计算区域的加热功率的步骤包含使用方程式(1)。

在各种不同的实施例中,上述的方法中,在该些迭代中的每次迭代,计算温度增量的步骤包含使用方程式(8)。

在一些实施例中,系统包含处理器。处理器用以通过在多次迭代中的每次迭代重复执行操作来决定集成电路(ic)的区域的加热功率特性,操作包括在温度值下计算区域的漏泄功率及加热功率,计算对应于所计算的漏泄功率的温度增量,以及基于所计算的温度增量,更新温度值用于多次迭代中的下一次迭代。处理器进一步用以基于区域的冷却能力,决定区域的冷却功率特性。处理器进一步用以回应于加热及冷却功率特性具有至少一个共同点,决定区域为热稳定。处理器进一步用以回应于加热及冷却功率特性不具有共同点,决定区域为热不稳定,及改变区域的结构或操作条件中的至少一者。

在各种不同的实施例中,上述的系统中,处理器用以在该些迭代中的每次迭代,使用方程式(1)计算区域的加热功率。

在各种不同的实施例中,上述的方法中,处理器用以在该些迭代中的每次迭代,使用方程式(8)计算温度增量。

在各种不同的实施例中,上述的系统中,处理器用以回应于加热及冷却功率特性具有一个共同点,输出指示以在使用中将外部冷却装置添加至ic。

在各种不同的实施例中,上述的系统中,处理器用以回应于加热及冷却功率特性在相应不同功率值处具有多个共同点,输出指示,指示该等相应不同功率值中的最低功率值为ic的区域的最大容许功率值。

在各种不同的实施例中,上述的系统中,处理器用以回应于加热及冷却功率特性不具有共同点,通过以下中的至少一者改变ic的区域的结构:变更ic的区域中的至少一个单元的布局,在ic的区域中或周围添加虚设图案,或用具有相同功能但具有较低加热功率的另一单元替换ic的区域中的至少一个单元。

在各种不同的实施例中,上述的系统中,处理器用以回应于加热及冷却功率特性不具有共同点,通过降低ic的区域的操作电压、操作频率或负载中的至少一者改变ic的区域的操作条件。

在一些实施例中,计算机程序产品包含非暂时性计算机可读取媒体,在非暂时性计算机可读取媒体内包含指令。当由处理器执行时,指令引发处理器通过在多次迭代中的每次迭代重复执行操作来决定待包括在集成电路(ic)中的标准单元的加热功率特性,操作包括在温度值下计算标准单元的漏泄功率及加热功率,计算对应于所计算的漏泄功率的温度增量,以及基于所计算的温度增量,更新温度值用于多次迭代中的下一次迭代。指令进一步引发处理器基于标准单元的热阻,决定标准单元的冷却功率特性。指令进一步引发处理器回应于加热及冷却功率特性具有至少一个共同点,决定标准单元为热稳定。指令进一步引发处理器回应于加热及冷却功率特性不具有共同点,决定标准单元为热不稳定,及改变标准单元的结构或操作条件中的至少一者。当由处理器执行时,指令引发处理器使用方程式(8)计算标准单元的温度增量。

在各种不同的实施例中,上述的计算机程序产品中,当由处理器执行时,该等指令进一步引发处理器使用方程式(1)计算标准单元的加热功率。

前文概述了数个实施例的特征,使得熟悉此项技术者可更好地理解本揭示案的态样。熟悉此项技术者应了解,可易于使用本揭示案作为设计或修改其他制程及结构的基础以便实施本文所介绍的实施例的相同目的及/或实现相同优势。熟悉此项技术者亦应认识到,此类等效结构并未脱离本揭示案的精神及范畴,并且可在不脱离本揭示案的精神及范畴的情况下在本文中实施各种变化、取代及修改。

当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1