一种密码输入界面显示方法及系统的制作方法_4

文档序号:9471845阅读:来源:国知局
者,可以将所述按键设置单元020的处理结果输入所述名称设置单元OlO进行处理,再将所述名称设置单元010的处理结果输入界面设置触发单元100进行处理。本申请对应并不作出限定。
[0111]上述密码输入界面显示系统第一实施例与密码输入界面显示方法第一实施例相对应,可以实现密码输入界面显示方法第一实施例的效果。
[0112]下面介绍本申请密码输入界面显示系统的第二实施例。图6是本申请密码输入界面显示系统第二实施例的组成结构图。如图6所示,所述密码输入界面显示系统第二实施例与密码输入界面显示系统第一实施例的区别在于,还可以包括:显示内容设置单元600。
[0113]所述显示内容设置单元600,可以用于接收用户输入和/或选择的显示内容信息,根据所述显示内容信息设置所述密码输入界面上按键显示的内容。
[0114]图7是本申请密码输入界面显示系统第二实施例中显示内容设置单元的组成结构图。如图7所示,所述显示内容设置单元600,可以包括:显示内容接收单元610和第二对应关系单元620。其中,
[0115]所述按键显示内容触发单元610,可以用于接收设置按键显示内容的触发指令。
[0116]所述按键显示内容设置单元620,可以用于接收用户对所述密码输入界面上按键所显示内容的选取和/或输入,建立所述按键与所述显示内容的第二对应关系,接收用户完成按键显示内容设置的指令。
[0117]图8是本申请系统第二实施例显示内容设置单元中按键显示内容设置单元的组成结构图。如图8所示,所述按键显示内容设置单元,可以包括:显示内容接收单元621、第二对应关系单元622和按键显示内容完成单元623。其中,
[0118]所述显示内容接收单元621,可以用于接收用户对所述密码输入界面上按键所显示内容的选取和/或输入。
[0119]所述第二对应关系单元622,可以用于建立所述按键与所述显示内容的第二对应关系。还可以用于将所述第二对应关系存储起来。
[0120]所述按键显示内容完成单元623,可以用于接收用户完成按键显示内容设置的指令,完成所述按键显示内容的设置。
[0121]本实施例的其他部分与密码输入界面显示系统第一实施例相同,可以参照本申请密码输入界面显示系统第一实施例。
[0122]需要说明的是,所述显示内容设置单元600可以对按键代表字符设置单元300处理后的结果进行处理。所述显示内容设置单元600还可以对界面设置触发单元100处理后的结果进行处理,再将所述显示内容设置单元600的结果输入所述按键代表字符触发单元200进行处理。本申请对此不作限定。
[0123]上述密码输入界面显示系统第二实施例与密码输入界面显示方法第二实施例相对应,可以实现密码输入界面显示方法第二实施例的效果。
[0124]在20世纪90年代,对于一个技术的改进可以很明显地区分是硬件上的改进(例如,对二极管、晶体管、开关等电路结构的改进)还是软件上的改进(对于方法流程的改进)。然而,随着技术的发展,当今的很多方法流程的改进已经可以视为硬件电路结构的直接改进。设计人员几乎都通过将改进的方法流程编程到硬件电路中来得到相应的硬件电路结构。因此,不能说一个方法流程的改进就不能用硬件实体模块来实现。例如,可编程逻辑器件(Programmable Logic Device, PLD)(例如现场可编程门阵列(Field ProgrammableGate Array,FPGA))就是这样一种集成电路,其逻辑功能由用户对器件编程来确定。由设计人员自行编程来把一个数字系统“集成”在一片PLD上,而不需要请芯片制造厂商来设计和制作专用的集成电路芯片2。而且,如今,取代手工地制作集成电路芯片,这种编程也多半改用“逻辑编译器(logic compiler)”软件来实现,它与程序开发撰写时所用的软件编译器相类似,而要编译之前的原始代码也得用特定的编程语言来撰写,此称之为硬件描述语言(Hardware Descript1n Language, HDL),而HDL也并非仅有一种,而是有许多种,如 ABEL(Advanced Boolean Express1n Language)、AHDL(Altera Hardware Descript1nLanguage)、Confluence、CUPL(Cornell University Programming Language)、HDCal、JHDL(Java Hardware Descript1n Language)、Lava、Lola、MyHDL> PALASM> RHDL(RubyHardware Descript1n Language)等,目前最普遍使用的是 VHDL (Very-High-SpeedIntegrated Circuit Hardware Descript1n Language)与 Verilog2。本令页域技术人员也应该清楚,只需要将方法流程用上述几种硬件描述语言稍作逻辑编程并编程到集成电路中,就可以很容易得到实现该逻辑方法流程的硬件电路。
[0125]控制器可以按任何适当的方式实现,例如,控制器可以采取例如微处理器或处理器以及存储可由该(微)处理器执行的计算机可读程序代码(例如软件或固件)的计算机可读介质、逻辑门、开关、专用集成电路(Applicat1n Specific Integrated Circuit,ASIC)、可编程逻辑控制器和嵌入微控制器的形式,控制器的例子包括但不限于以下微控制器:ARC625D、Atmel AT91SAM、Microchip PIC18F26K20 以及 Silicone Labs C8051F320,存储器控制器还可以被实现为存储器的控制逻辑的一部分。
[0126]本领域技术人员也知道,除了以纯计算机可读程序代码方式实现控制器以外,完全可以通过将方法步骤进行逻辑编程来使得控制器以逻辑门、开关、专用集成电路、可编程逻辑控制器和嵌入微控制器等的形式来实现相同功能。因此这种控制器可以被认为是一种硬件部件,而对其内包括的用于实现各种功能的装置也可以视为硬件部件内的结构。或者甚至,可以将用于实现各种功能的装置视为既可以是实现方法的软件模块又可以是硬件部件内的结构。
[0127]上述实施例阐明的系统、装置、模块或单元,具体可以由计算机芯片或实体实现,或者由具有某种功能的产品来实现。
[0128]为了描述的方便,描述以上装置时以功能分为各种单元分别描述。当然,在实施本申请时可以把各单元的功能在同一个或多个软件和/或硬件中实现。
[0129]通过以上的实施方式的描述可知,本领域的技术人员可以清楚地了解到本申请可借助软件加必需的通用硬件平台的方式来实现。基于这样的理解,本申请的技术方案本质上或者说对现有技术做出贡献的部分可以以软件产品的形式体现出来,在一个典型的配置中,计算设备包括一个或多个处理器(CPU)、输入/输出接口、网络接口和内存。该计算机软件产品可以包括若干指令用以使得一台计算机设备(可以是个人计算机,服务器,或者网络设备等)执行本申请各个实施例或者实施例的某些部分所述的方法。该计算机软件产品可以存储在内存中,内存可能包括计算机可读介质中的非永久性存储器,随机存取存储器(RAM)和/或非易失性内存等形式,如只读存储器(ROM)或闪存(flash RAM)。内存是计算机可读介质的示例。计算机可读介质包括永久性和非永久性、可移动和非可移动媒体可以由任何方法或技术来实现信息存储。信息可以是计算机可读指令、数据结构、程序的模块或其他数据。计算机的存储介质的例子包括,但不限于相变内存(PRAM)、静态随机存取存储器(SRAM)、动态随机存取存储器(DRAM)、其他类型的随机存取存储器(RAM)、只读存储器(ROM)、电可擦除可编程只读存储器(EEPROM)、快闪记忆体或其他内存技术、只读光盘只读存储器(CD-ROM)、数字多功能光盘(DVD)或其他光学存储、磁盒式磁带,磁带磁磁盘存储或其他磁性存储设备或任何其他非传输介质,可用于存储可以被计算设备访问的信息。按照本文中的界定,计算机可读介质不包括短暂电脑可读媒体(transitory media),如调制的数据信号和载波。
[0130]本说明书中的各个实施例均采用递进的方式描述,各个实施例之间相同相似的部分互相参见即可,每个实施例重点说明的都是与其他实施例的不同之处。尤其,对于系统实施例而言,由于其基本相似于方法实施例,所以描述的比较简单,相关之处参见方法实施例的部分说明即可。
[0131]本申请可用于众多通用或专用的计算机系统环境或配置中。例如
当前第4页1 2 3 4 5 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1