基于温度的导线布线的制作方法

文档序号:10663608阅读:286来源:国知局
基于温度的导线布线的制作方法
【专利摘要】电路设计方案基于温度对导线进行布线。具体而言,沿预期线路的温度条件在确定是否要使用该线路以用于导线时被纳入考虑。例如,可基于哪条线路与“最平滑”温度梯度相关联而从一组预期线路中选择一线路。这里,可对沿线路具有最小温度变动量的一个或多个线路给予优选。
【专利说明】
基于温度的导线布线
[0001] 相关申请的交叉引用
[0002] 本申请要求于2014年2月7日提交的关于"Temperature-Based Wire Routing(基 于温度的导线布线)"美国专利申请序列号14/175,429的优先权,该专利申请通过援引全部 纳入于此。
技术领域
[0003] 以下内容一般涉及电路设计,尤其但不排他地涉及基于温度对导线进行布线。
[0004] 背景
[0005] 用于在印刷电路板(PCB)、集成电路(1C)或其它结构上或内对导线(有时称为迹线 或电路径)进行布线的算法尝试寻找最好地满足对导线的布线要求的线路。一般而言,布线 要求规定导线应当尽可能地短。以此方式,与导线上信号的传输相关联的功耗和信号传播 延迟被最小化到至少某一程度。此外,较短导线的使用使得能够在给定区域内对更多导线 进行布线,由此提高电路密度。
[0006] 在一些应用中,布线要求指定多条导线的长度相等或者接近相等。例如,在采用时 钟树或采用并行导线(例如,用于总线或差分信号)的定时关键的应用中,相等导线长度的 使用使得更易于匹配导线的信号传播特性。相应地,来自一个或多个数据源的一个或多个 信号可几乎在同时经由不同导线到达多个数据阱,由此维持各数据阱处各信号之间的期望 定时关系。换言之,匹配的导线被用来将不同导线上传送的信号的相对定时偏斜保持在最 小值。
[0007] 已提议了其它技术以减小定时偏斜。例如,一些系统使用缓冲器来控制沿导线的 延迟,并且由此减小定时偏斜。其它系统向布线结构中插入交联以减小定时偏斜。
[0008] 然而,在实践中,以上技术可能不足以减小定时偏斜或者可能具有某些缺陷。例 如,各种操作条件和环境因素可影响信号通过导线的传播延迟。因此,可能难以匹配不同导 线的信号传播特性,即使导线长度匹配。同样,有源技术(诸如,缓冲器插入)可导致功耗的 显著增大。另外,由于交联链路插入可增加导线长度,因此也可在这些情景中看到功耗的增 大。鉴于以上内容,存在对于改进的电路设计技术的需要。
[0009] -些示例的简要概述
[0010] 以下概述本公开的一些方面以提供对此类方面的基本理解。此概述不是本公开的 所有构想到的特征的详尽综览,并且既非旨在标识出本公开的所有方面的关键性或决定性 要素亦非试图界定本公开的任何或所有方面的范围。其唯一目的是以概述形式给出本公开 的一个或多个方面的一些概念作为稍后给出的更详细描述之序言。
[0011] 本公开的各个方面提供了基于温度对导线进行布线。也就是说,沿预期线路的温 度条件在确定是否要使用该线路以用于导线时被纳入考虑。一般而言,在导电温度与导线 电阻之间存在直接关系。相应地,沿导线的温差可显著影响穿过该导线的传播延迟。根据本 公开的一些方面,基于哪条线路与"最平滑"温度梯度相关联而从一组预期线路中选择一线 路。例如,可对沿线路具有最小温度变动量的一个或多个线路给予优选。在一些情景中,此 类布线方案的使用导致标识出与最低信号偏斜相关联的线路。在一些情景中,此类布线方 案的使用涉及选择多个线路以使得这些线路的信号偏斜相匹配(例如,对于并行总线的不 同电线的线路、或者对于时钟树的不同分支的线路)。
[0012] 根据本公开的一些方面,布线方案使用基于温度的相关map来选择用于迹线的线 路。初始地,线路点网格被定义在一区域(例如,矩形区域)上,在该区域内迹线可从第一端 点(例如,信号源点、分支点、或信号阱点)被布线到第二端点(例如,信号阱点)。该布线方案 随后使用仿真或其它技术来确定每个点的温度特性。该布线方案随后生成空间相关矩阵 (map),其中每个条目对应于预期线路点之一。接着,该布线方案基于空间相关map来生成关 于这些点的相关余因子矩阵(map)。相关余因子map中的每个条目指示对应线路点与两个端 点的温度特性之间的相关。由此,可通过确定哪一个线路涵盖集体地具有与端点的最高相 关的线路点来标识出最佳线路。
[0013] 本公开在一些方面涉及基于随时间推移的温度条件来选择线路。例如,可在一时 间段上收集每个预期线路点处的温度。因此,以上引述的空间相关矩阵在一些实现中可以 是时间变化空间相关矩阵。预期线路点之间的基于温度的相关由此可将温度趋势或其它时 间温度条件纳入考虑。
[0014] 本公开在一些方面还涉及在选择线路时避开温度极值。例如,在一些实现中,线路 的选择要求避开预期布线区域内的任何所谓的热点。
[0015] 本公开的进一步方面提供了一种布线装置,其包括存储器设备以及耦合至存储器 设备的处理电路。该处理电路被配置成:标识用于通过至少一个基板对导线进行布线的多 个预期线路;对于这些预期线路中的每一个预期线路,确定与该预期线路相关联的温度特 性;基于这些预期线路的温度特性来选择这些预期线路之一;以及在存储器设备中存储对 所选线路的指示。
[0016] 本公开的进一步方面提供了一种用于无线通信的方法,包括:接收指示用于通过 至少一个基板对导线进行布线的区域的至少一个信号;标识用于在该区域内对导线进行布 线的多个预期线路;对于这些预期线路中的每一个预期线路,确定与该预期线路相关联的 温度特性;基于这些预期线路的温度特性来选择这些预期线路之一;以及存储对所选线路 的指示。
[0017] 本公开的附加方面提供了一种布线设备,包括:用于标识用于通过至少一个基板 对导线进行布线的多个预期线路的装置;用于对于这些预期线路中的每一个预期线路,确 定与该预期线路相关联的温度特性的装置;用于基于这些预期线路的温度特性来选择这些 预期线路之一的装置;以及用于存储对所选线路的指示的装置。
[0018] 本公开的其它方面提供了一种其上存储有指令的非瞬态机器可读存储介质,该指 令在被处理电路执行时使得处理电路:标识用于通过至少一个基板对导线进行布线的多个 预期线路;对于这些预期线路中的每一个预期线路,确定与该预期线路相关联的温度特性; 基于这些预期线路的温度特性来选择这些预期线路之一;以及存储对所选线路的指示。
[0019] 另外,本公开的其它方面提供了一种基板,该基板具有形成于其上的沿从多个预 期线路当中选择的与最平滑温度梯度相关联的线路的导线。该基板通过包括以下步骤的过 程来制备:标识用于在所述基板上对所述导线进行布线的多个预期线路;对于这些预期线 路中的每一个预期线路,确定与该预期线路相关联的温度梯度;基于这些预期线路中的哪 一个预期线路具有预期线路中的最平滑温度梯度来选择这些预期线路之一;提供基板;以 及根据所选线路在基板上形成导线。
[0020] 以下是与以上内容相关的本公开的其它方面的示例。导线的布线可以在第一端点 与第二端点之间。在该情形中,预期线路之一的选择可包括:确定哪一个预期线路具有与第 一和第二端点的最高温度相关。同样,温度特性的确定可包括:对于沿相应预期线路的点集 合中的每一个点,确定该点与第一和第二端点之间的温度相关。类似地,温度梯度的确定可 包括:对于沿相应预期线路的点集合中的每一个点,确定该点与第一和第二端点之间的温 度相关。在任一情形中,预期线路之一的选择可包括:确定哪一个点集合具有与第一和第二 端点的最高集合温度相关。预期线路之一的选择可包括拒绝预期线路中穿过至少一个基板 的与超过阈值温度的温度和/或落在低于阈值温度的温度相关联的区域的任何预期线路。 因此,所选线路可不穿过至少一个基板的与超过阈值温度的温度和/或落在低于阈值温度 的温度相关联的任何区域。另外,可基于哪一个预期分支点具有与第一和第二端点的最高 温度相关来选择第一和第二端点之间的多个预期分支点中的一个分支点。同样,该至少一 个基板可包括印刷电路板基板、集成电路管芯基板、或者某种其它类型的基板。
[0021] 本公开在一些方面涉及标识至少一个基板上的点网格。在该情形中,多个预期线 路的标识可包括标识点网格内的线路,其中导线的布线在该点网格内的第一端点与第二端 点之间。与预期线路相关联的温度特性的确定和/或温度梯度的确定可包括:针对所述点网 格中的每一个点,确定该点的温度特性;基于这些点的温度特性来生成对应于点网格的空 间相关矩阵;基于空间相关矩阵生成相关余因子矩阵,其中相关余因子矩阵对于点网格中 的每一个点将该点的温度特性与第一和第二端点的温度特性相关;以及对于每一个预期线 路,基于相关余因子矩阵确定预期线路与第一和第二端点之间的温度相关。同样,预期线路 之一的选择可包括:确定哪一个预期线路具有与第一和第二端点的最高温度相关。另外,这 些点的温度特性的确定可以基于将被定位在该点网格附近的至少一个电子电路的至少一 个温度特性的确定。
[0022]预期线路之一的选择可以基于附加准则。例如,一旦针对每一个预期线路确定了 与该预期线路相关联的距离,就可以基于与预期线路相关联的距离来选择预期线路之一。 作为另一示例,一旦标识出穿过多个布线层中的至少两个布线层的至少一个预期线路,预 期线路之一的选择就可涉及向穿过多个布线层中的至少两个布线层的每一个预期线路应 用加权因子。因此,最平滑温度梯度可与预期线路中穿过多个布线层中的至少两个布线层 的一个预期线路相关联。作为又一示例,一旦标识出穿过多个布线层中的至少两个布线层 之间的通孔的至少一个预期线路,预期线路之一的选择就可涉及向穿过多个布线层中的至 少两个布线层之间的通孔的每一个预期线路应用加权因子。因此,最平滑温度梯度可与这 些预期线路中穿过多个布线层中的至少两个布线层之间的通孔的一个预期线路相关联。作 为进一步示例,一旦标识出倒转路线的至少一个预期线路,预期线路之一的选择就可涉及 向倒转路线的每一个预期线路应用加权因子。相应地,最平滑的温度梯度可与这些预期线 路中倒转路线的一个预期线路相关联。在一些方面,该至少一个基板可包括多个堆叠基板。 在该情形中,该多个线路可在多个堆叠基板上被标识。
[0023]在一些方面,所选线路可包括第一端点与第二端点。这里,最平滑的温度梯度可对 应于沿所选线路与第一和第二端点的最高温度相关。同样,最平滑的温度梯度可对应于沿 所选线路的最高温度特性一致性。所选线路还可包括分支点,其中分支点关联于与来自位 于第一和第二端点之间的多个预期分支点当中与第一和第二端点的最高温度相关。
[0024] 本公开的这些和其他方面将在阅览以下详细描述后将得到更全面的理解。在结合 附图研读了下文对本公开的具体实现的描述之后,本公开的其他方面、特征和实现对于本 领域普通技术人员将是明显的。尽管本公开的特征在以下可能是针对某些实现和附图来讨 论的,但本公开的所有实现可包括本文所讨论的有利特征中的一个或多个。换言之,尽管可 能讨论了一个或多个实现具有某些有利特征,但也可以根据本文讨论的本公开的各种实现 使用此类特征中的一个或多个特征。以类似方式,尽管示例性实现在下文可能是作为设备、 系统或方法实现进行讨论的,但是应该理解,此类实现可以在各种设备、系统、和方法中实 现。
[0025] 附图简述
[0026] 图1是解说根据本公开的一些方面的基于温度的线路选择的示例的示图。
[0027] 图2和3是解说温度引发的偏斜的示例的示图。
[0028] 图4是解说根据本公开的一些方面的导线布线方法的示例的流程图。
[0029] 图5是解说根据本公开的一些方面的基于温度余因子矩阵的线路选择的示例的示 图。
[0030] 图6是解说根据本公开的一些方面的生成和使用余因子矩阵的示例的示图。
[0031 ]图7是解说根据本公开的一些方面的基板的示例的示图。
[0032] 图8是解说根据本公开的一些方面的基板的另一示例的示图。
[0033] 图9是解说其中可根据本公开的一些方面应用基于层的加权因子的情景的示例的 示图。
[0034] 图10是解说其中可根据本公开的一些方面应用基于通孔的加权因子的情景的示 例的示图。
[0035] 图11是解说其中可根据本公开的一些方面应用基于路线倒转的加权因子的情景 的示例的示图。
[0036]图12和13是解说根据本公开的一些方面的考虑温度相关和线路距离两者的线路 决定的示例的示图。
[0037] 图14是解说根据本公开的一些方面布线的时钟树的示例的示图。
[0038] 图15是解说根据本公开的一些方面布线的差分对的示例的示图。
[0039] 图16是解说根据本公开的一些方面的迷宫布线方法的示例的流程图。
[0040] 图17是解说本公开的一个或多个方面可在其中得到应用的电路设计系统的示例 的框图。
[0041] 图18是解说根据本公开的一些方面的被配置成提供布线功能性的装置的组件选 集的框图。
[0042] 图19是解说根据本公开的一些方面的导线布线方法的流程图。
[0043]图20是解说根据本公开的一些方面的用于制备其上形成有导线的基板的过程的 流程图。
[0044] 图21是解说根据本公开的一些方面的导线布线方法的附加方面的流程图。
[0045] 详细描述
[0046] 以下结合附图阐述的详细描述旨在作为各种配置的描述,而无意表示可实践本文 所描述的概念的仅有配置。本详细描述包括具体细节以提供对各种概念的透彻理解。然而, 对于本领域技术人员将显而易见的是,没有这些具体细节也可实践这些概念。在一些实例 中,以框图形式示出众所周知的结构和组件以便避免淡化此类概念。
[0047] 图1解说了根据本公开的一些方面的基于温度的线路选择的示例。布线点网格被 定义以用于从第一端点102A到第二端点102B对导线进行布线。每个布线点由布线点网格 100中的一个框来表示。因此,在图1的示例中存在40个布线点。在实践中,给定布线点通常 将位于对应框的中央。
[0048] 如以下更详细讨论的,线路选择方案涉及确定(例如,估计)与每个布线点相关联 的温度特性。基于这些温度特性,作出关于每个布线点的温度特性与第一和第二端点102A 和102B的温度特性相关的程度的确定。在图1的示例中,给定框中的数字表示该框的温度相 关余因子,其中0.99将表示接近完全温度相关,并且0.0将表示无温度相关。因此,布线点网 格100中的第一布线点104具有与第一和第二端点102A和102B的温度相关余因子0.3。应领 会,可在不同实现中使用不同相关余因子范围(例如包括小于〇或大于1的值)。
[0049] 根据本文的教导,通过确定第一和第二端点102A和102B之间的所有可能线路中哪 一个线路具有与第一和第二端口 102A和102B的最佳温度相关来选择第一和第二端点102A 和102B之间的线路。继续图1的示例,最佳线路由此将是全体具有最高相关余因子的线路。 相应地,由第一虚线106A表示的线路胜过任何其它预期线路(诸如由第二虚线106B表示的 线路)而被选择。
[0050] 图1的布线方案由此可解决因存在于端点对之间的线路上的不同温度条件而产生 的定时偏斜问题。例如,印刷电路板(PCB)、集成电路(1C)或其它电路系统中的组件负荷差 异可导致跨预期布线路径不均匀的温度梯度。作为具体示例,当处理器的负荷非常高时, PCB上、1C中等等的处理器周围的区域通常将比PCB或ID的其它区域更热。给定导线电阻和 温度之间的线性关系的情况下,高温度变化可使导线上的传播延迟增大例如高达100%。
[0051] 此外,给定区域中的温度可随时间推移而变化。在存在显著的温度梯度的情况下, 不确定性由此可按传播延迟、定时偏斜(例如,时钟偏斜)和偏斜变动的形式被赋予在系统 上。此外,当在PCB、1C等上对互连进行全局布线时,延迟以及这些温度梯度的偏斜效应可能 甚至更成问题。
[0052]图2和3解说了遭遇不同温度的布线区域的简化示例。第一区域202A在第一区域 202A附近的电路系统的操作期间遭遇相对较高的温度T1度。为了降低图2和3的复杂度,该 电路系统未被示出。第二区域202B在邻近电路系统的操作期间遭遇比T1度低的温度T2度。 第三区域202C在邻近电路系统的操作期间遭遇比T2度低的温度T3度。
[0053] 一般而言,在导线温度与导线电阻之间存在直接关系。相应地,沿导线的温差可显 著影响通过该导线的传播延迟。图2和3解说了在导线穿过高温区域时可引起的传播延迟的 增大的示例。
[0054]在图2中,源点S处生成的信号经由第一导线段204A传播至第一阱点A并经由第二 导线段204B传播至第二阱点B。从源点S到第一阱点A的传播延迟为1纳秒,而从源点S到第二 阱点B的传播延迟为2纳秒。注意到,第一导线段204A或第二导线段204B都不穿过第一到第 三区域202A-202C中的任一者。
[0055] 在图2中,第一导线段304A不穿过第一到第三区域202A-202C中的任一者。然而,第 二导线段304B的确穿过第一到第三区域202A-202C中的每一者。相应地,在图3中,从源点S 到第二阱点B的传播延迟为4纳秒。相应地,图2和3解说了在各导线之一的线路穿过遭遇与 这些导线中另一导线不同的温度的区域的情况下,在不同导线(例如,分离导线或树的不同 分支)上所携带的信号之间可出现显著的温度引发的定时偏斜。
[0056] 本公开在一些方面涉及通过热量知悉式布线方案的使用使温度引发的定时偏斜 和定时偏斜变动最小化。有利地,与缓冲器插入、交联插入和其它常规偏斜缓解技术相比, 此类热量知悉式布线方案有效地降低了偏斜和偏斜变动而无需附加金属布线和/或无需增 大功耗。
[0057] 谨记以上内容,根据本公开的与热量知悉式布线功能性相关的操作的示例将结合 图4的流程图更详细地描述。出于方便目的,图4的操作(或本文所讨论或教导的任何其它操 作)可被描述为是由特定组件来执行的。然而,应当领会,在各个实现中,这些操作可由其他 类型的组件来执行,并且可使用不同数目个组件来执行。还应当领会,在给定实现中可以不 采用本文所描述的操作中的一个或多个操作。
[0058] 图4解说了根据本公开的一些方面的基于相关余因子矩阵来对导线进行布线的过 程400。过程400可发生在处理电路1810(图18)内,该处理电路可位于电路设计工具或某种 其他合适的装置中。在另一方面,过程400可由图17中解说的电路设计系统1700来实现。当 然,在本公开的范围内的各个方面,过程400可以由能够支持导线布线操作的任何合适的装 置来实现。
[0059]可通过过程400达成的目标的示例是寻找具有最平滑温度梯度并且还导致导线尽 可能地短的导线的线路。在一些方面,平滑温度梯度是指不具有突然的温度改变(例如,超 过第一阈值水平的温度幅度改变)或者具有很少的突然的温度改变并且不具有大的温度极 值(例如,超过第二阈值水平的温度幅度之差,第二阈值水平在幅度上大于第一阈值水平) 的一个温度梯度。通过提供平滑的温度梯度,原本可由沿线路的温度变动引起的偏斜和偏 斜变动可被保持较低。另外,通过保持导线长度较短(例如,通过迷宫布线的使用),与导线 相关联的功耗可被保持较低。
[0060] 在一些实现中,过程400被用来寻找相对于温度高度相关的端点(例如,引脚对)之 间的线路。例如,该布线方案对于两个端点随时间偏移趋向于处于相同温度或接近相同温 度的情景可能是尤其有利的。
[0061] -般而言,过程400尝试寻找具有与端点的最高温度相关的线路。因此,线路选择 过程尝试在高相关的区域上构建所有布线路径。通过在此类高相关区域上进行构建,在线 路的路线上看到的任何温度变化将趋向于更平滑(例如,任何温度变化的幅度将较低)。相 应地,与线路相关联的定时偏斜和偏斜变动可以更受控或者可预测的。
[0062]进一步关于此,过程400还可避免所谓的热点。例如,线路选择过程可确保所选线 路不穿过遭遇温度极值(即,过热或过冷)的任何区域。
[0063]在框402,生成时变空间相关矩阵(map)。这里,布线区域(例如,整个PCB或1C)被划 分成具有总共N个节点的均匀网格。这N个节点中的每一个节点处的温度随后例如通过随机 过程来建模。每个节点由此通过在N个时刻处采样的温度序列来描述,如式1所指示的。 [0064] Lni={T(ti,m),…,T(tP,m),T(tP+i,m),…,T(tN,ni)} (1)
[0065] Ln2 = { T (tl, Π2 ) , · · · , T ( tp , Π2 ) , T( tp+1, Π2 ) , · · · , Τ ( tN , Π2 ) }
[0066]
[0067] LnN={T(ti,m),···,T(tP,nN),T(tP+i,nN),···,Τ(?ν,πν)}
[0068] 温度(空间)相关矩阵由此可如式2中阐述地来定义。
[0070] 这里,C〇V(i,j)是如在式3中阐述的节点之间的协方差矩阵。
[0071] i , j = k = lNT(tk,ni)Ttk,nj-k = lNTtk,nik = lNT(tk,nj) (3)
[0072] 式1中引述且在式4中阐述的参数〇1和^分别是节点取和如的标准偏差。
[0075]最终,节点m和η」的平均温度分别在式5中阐述。
[0078]在图4的框404,基于框402处生成的空间相关矩阵来生成相关余因子矩阵(map)。 如本文所讨论的,在一些方面,该操作涉及确定网格中每个点相对于指定导线目标点(例 如,端点)的温度相关。
[0079]区域P(CCp)中到目标i、j的相关余因子是以两个对应系数为基础(例如,作为其乘 积或求和)来定义的。对于相关余因子基于系数的乘积的情形,CCp = cov(i,p)*cov(p,j)。 再次,具有高相关余因子值的区域表示与两个布线目标点的高相关。
[0080] 在框406,基于相关余因子矩阵和线路距离来对导线进行布线。在一些实现中,布 线算法(诸如,迷宫布线算法)与热量知悉式布线结合使用以尝试寻找具有尽可能最平滑的 温度梯度的尽可能最短的线路。
[0081] 图5以图形化方式解说了基于相关余因子矩阵的线路选择的示例。图5描绘了线路 选择的四个不同阶段的网格,这四个不同阶段按操作次序被标记为第一阶段500A、第二阶 段500B、第三阶段500C和第四阶段500D。
[0082]在该示例中,第一阶段500A涉及选择第一端点502A与第二端点502B之间的中点。 就此,标识出一组四个预期中点,每一个由单个实点表示。出于解说目的,第一中点504A和 第二中点504B在图5中被特别标记。为每一个预期中点计算温度相关余因子,并且优选地, 具有与第一和第二端点502A和502B的最高温度相关的预期中点被选作线路的中点。在该示 例中,第二中点504B具有0.99的相关余因子,并且由此该中点被选作布线操作的中点。其它 预期中点的相关余因子未被标记以降低图5的复杂度。
[0083]如以上所提及的,在一些实现中,布线操作避免了所谓的热点。热点在图5中被表 示为星号。出于解说目的,这些热点中的第一热点506被特别标记。应领会,在一些情景中, 热点的存在可阻止对原本期望的中点的选择。例如,热点可阻断到中点的直接线路。
[0084] 一旦所选中点504B被选择以用于线路,穿过第二中点504B的线路就需要被标识。 具体而言,线路选择涉及标识从第二中点504B到第一端点502A的线路,如由第一虚线508A 一般性表示的。另外,线路选择涉及标识从第二中点504B到第二端点502B的线路,如由第二 虚线508B-般性表不的。
[0085]就此,布线操作行进至第二阶段500B,藉此为每个布线点计算相关余因子。如图1 中,相关余因子的范围可以从〇. 〇到约1. 〇 (例如,〇. 9999)。作为视觉辅助,向第二中点504B 添加了圆圈以强调该布线点是该线路的中点。
[0086] 布线操作随后行进至第三阶段500C,在此标识第二中点504B与第二端点502B之间 的期望线路510A。如本文所讨论的,在此选择具有最高相关余因子值的线路。同样,在该线 路选择期间避免热点。
[0087] 最终,布线操作行进至第四阶段500D,在此标识第二中点504B与第一端点502A之 间的期望线路510B。再次,选择具有最高相关余因子值的线路。另外,避开热点。
[0088] 现在参照图6,将更详细地描述余因子矩阵的生成和使用。如以上所提及的,较高 温度变化可显著影响与导线布线相关联的延迟和偏斜。如本文所教导的热量知悉式布线可 考虑到距离和温度相关两者以用于评估每个节点之间的延迟并且还降低偏斜和偏斜变动。
[0089] 由于动态温度变动随时间推移生成不同偏斜,因此布线方案标识从温度角度来看 最平滑的布线路径,以尝试确保更稳定的偏斜变动。布线方案考虑时变温度变动连同空间 和时间相关。随后通过分析时变温度map来生成温度相关map。以此方式,该布线方案可标识 具有最高相关余因子值的线路并且由此提供具有较佳热量容限的线路。另外,通过避开由 温度相关map指示的任何热点区域,布线方案可进一步减小最差情形的偏斜。
[0090] 初始地,电路设计过程涉及定位PCB、1C或某一其它合适结构的区域602中的电路。 这可例如使用恰适的计算机辅助设计(CAD)系统来完成。
[0091] 随后针对区域602执行空间温度分析604。在一些方面,这涉及确定(例如,估计)区 域602的不同点处在一时间段上的温度。例如,具有关于位于区域602中的电路的温度特性 的信息以及关于这些电路如何被配置成操作的信息的仿真程序可生成在这些电路在操作 中时对区域602内的各个点处预计的温度的估计。
[0092]为了对区域602中的电路附近的此类时变温度建模,在PCB、1C或其它布线结构上 定义网格并且每个网格被指派一温度范围。该温度范围可通过测量或热量仿真来获得。例 如,这些电路可贯穿所有操作状态(例如,用于该电路的完整指令集可被测试)以获得对应 的温度简档。
[0093]作为具体但非限定性示例,温度分析可涉及微架构水平功率和温度仿真。区域602 被划分成具有总共N个节点的均匀网格。通过按顺序应用恰适的基准应用(每个具有时间段 tP),通过在热量一常数标度(毫秒标度)中对循环一准确(微微秒标度)动态功率取平均来 获得热量一功率。使用该时变热量功率作为输入,针对网格中的每个节点η」计算不同时刻ti 的区域602上的瞬时温度TUbnJ。为了自主地提取关于温度变动的相关,N个节点处的温度 可通过随机过程来建模。网格中的每个节点由此可通过在N个时刻采样的温度序列来描述。 图6解说了所产生的关于时刻的三维温度矩阵606的简化示例。在非限定性示例中,温 度矩阵606对应于以上阐述的式1。相关计算608使用温度矩阵606作为输入以生成相关矩阵 610。在非限定示例中,相关计算608对应于以上阐述的式2-5。在此类情形中,相关矩阵610 由此将对应于式2。例如,相关系数C( i,j)可被预计算并存储在表中。
[0094] 余因子计算612使用相关矩阵610作为输入以生成与关于线路指定的目标点(例 如,端点)相关联的余因子矩阵614。网格中具有高相关余因子值的点表示与两个布线目标 点的高相关。如以上所提及的,在一些实现中,相关余因子是基于与两个目标点相关联的系 数的乘积:CCp = cov(i,p)*cov(p, j)。在其它实现中,相关余因子可以基于系数的求和,CCp =cov(i,p)+cov(p,j)。也可使用用于指示点与两个目标点的相关的其它算法。
[0095] 最终,热量知悉式布线616使用余因子矩阵614作为输入以标识具有最高相关值的 线路618。例如,热量知悉式布线616可针对两个目标点之间的每个预期线路来计算相关值。 线路的相关值是与沿该线路的网格点相关联的诸个体余因子值的函数。例如,这些个体余 因子值可相乘在一起、相加在一起、或者以某种其它方式运算以生成关于该线路的相关值。
[0096] 可取决于设计目标在各个实现中采用各种类型的布线算法(例如,迷宫布线等)。 热量知悉式布线可采用Manhattan(曼哈顿)布线规则或者其它布线规则。因此,布线无需被 限制到"向上"和"向右"布线选择,或者没有必要限制到保持在所定义的布线窗口内。因此, 路线倒转(例如,退回)可被用在导致线路的较高偏斜特性的情景中。
[0097]基于温度的布线可被用于二维或三维中的布线。例如,布线可以跨PCB的不同层、 跨1C的不同层、跨堆叠1C、或者跨某一其它多层结构执行。在该情形中,可针对不同层中的 所有预期布线点计算温度map并且基于三维温度map来作出布线决策。图7和8分别解说了两 种不同类型的基板上的多层布线(即,三维布线)的两个示例。图7解说了在集成电路管芯基 板700上布线的简化示例。通过使用沉积和其它制造技术,电路702和金属导线(迹线)被形 成在基板700的各个层上。在该示例中,在第一金属层704(例如,第一导线布线层)上并在第 二金属层706(例如,第二导线布线层)上形成导线。此外,若需要,可在多层上对导线进行布 线,由此在恰适的位置,导线包括从一层到另一层的跳变708。
[0098]图8解说了在印刷电路板基板800上布线的简化示例。通过使用沉积和其它制造技 术,电路802(在该示例中为表面安装组件)和金属导线(迹线)被形成在基板800的各个层上 并与其附连。在该示例中,在第一金属层804(例如,第一导线布线层)上并在第二金属层806 (例如,第二导线布线层)上形成导线。此外,若需要,可在多层上对导线进行布线,由此所谓 的通孔808将导线在一层上的一个区段耦合至该导线在另一层上的另一个区段。
[0099]最终布线决策可将物理因素以及温度因素纳入考虑。例如,加权因子可与从一层 到另一层的跳变、通孔使用、倒转路线、或其它物理因素相关联。在一些方面,这些物理因素 可影响信号偏斜、功耗、或者与线路相关联的某一其它操作参数。因此,加权因子可被用来 对此类效应进行量化。相应地,最佳线路(例如,具有最低偏斜的线路、提供与另一线路的偏 斜最佳匹配的偏斜的线路、具有最低功耗的线路等)的确定可以基于温度相关和这些其它 加权因子的平衡。
[0100] 图9解说了其中布线决策可考虑基于层的加权因子的情景的示例。使用特定线路 的决策可考虑该线路是在单层上还是多层上。具体地,由于各层之间的跳变可负面影响到 线路的信号传播特性(例如,因阻抗不连续性),因此布线决策可惩罚在各层之间跳变一次 或多次的线路。
[0101] 作为一个示例,在计算关于线路902的相关值之后,该相关值可被调整以考虑到线 路902包括第一层906与第二层908之间的跳变904。例如,关于线路902的原始相关值可通过 加权因子减小以指示与线路902相关联的偏斜受跳变904负面影响。
[0102] 作为另一示例,可基于关于线路902的相关值以及与线路902相关的一个或多个加 权因子来作出布线决策。此类加权因子可以指示(不作为限定)线路902的长度以及线路902 在各层之间的跳变数目。因此,线路选择算法可为每一个预期线路计算一个或多个加权因 子,并且使用这些加权因子和相关值来确定是否要选择一个线路胜过另一线路。
[0103] 图10解说了其中布线决策可考虑基于通孔的加权因子的情景的示例。这里,使用 特定线路的决策可考虑该线路是否穿过通孔。由于通孔的使用可负面影响到线路的信号传 播特性(例如,因阻抗不连续性),因此布线决策可惩罚使用一个或多个通孔的线路。
[0104] 作为一个示例,在计算关于线路1002的相关值之后,该相关值可被调整以考虑到 线路1002包括第一层1006与第二层1008之间的通孔1004。例如,关于线路1002的原始相关 值可通过加权因子减小以指示与线路1002相关联的偏斜受通孔1004负面影响。
[0105]作为另一示例,可基于关于线路1002的相关值以及与线路1002相关的一个或多个 加权因子来作出布线决策。此类加权因子可以指示(不作为限定)线路1002的长度以及线路 1002中通孔1004的数目。因此,线路选择算法可为每一个预期线路计算一个或多个加权因 子,并且使用这些加权因子和相关值来确定是否要选择一个线路胜过另一线路。
[0106] 图11解说了其中布线决策可考虑基于路线倒转的加权因子的情景的示例。在该情 景中,使用特定线路的决策可考虑该线路是否倒转其路线。由于此类路线倒转可负面影响 到线路的信号传播特性(例如,因阻抗不连续性、期望区域之外的跳变、或者线路的延长), 因此布线决策可惩罚包括一个或多个路线倒转的线路。图11解说了由阻止使用更期望线路 的热点(例如,热点1104)导致的路线倒转1102的示例。
[0107] 作为一个示例,在计算关于线路1106的相关值之后,该相关值可被调整以考虑到 线路1106包括路线倒转1102。例如,关于线路1106的原始相关值可通过加权因子减小以指 示与线路1106相关联的偏斜受路线倒转1102负面影响。
[0108] 作为另一示例,可基于关于线路1106的相关值以及与线路1106相关的一个或多个 加权因子来作出布线决策。此类加权因子可以指示(不作为限定)线路1106的长度以及线路 1106中路线倒转1002的数目。再次,线路选择算法可为每一个预期线路计算一个或多个加 权因子,并且使用这些加权因子和相关值来确定是否要选择一个线路胜过另一线路。
[0109] 在一些实现中,在多个堆叠基板上采用三维的多层布线。例如,以上参照图 FIGS.7-10的各个层在一些情景中可以是堆叠基板。因此,本文所教导的线路的标识在一些 实现中可涉及标识多个堆叠基板上的线路。
[0110] 本公开在一些方面涉及通过平衡温度考量和线路长度考量来选择线路。例如,如 果替换线路具有较佳的温度特性,则比该替换线路短的线路可能不被选择。相反,具有比替 换线路更佳的温度特性的线路在该线路的长度比替换线路长的情况下可能不被选择。相应 地,最佳线路(例如,具有最低偏斜的线路、提供与另一线路的偏斜最佳匹配的偏斜的线路、 具有最低功耗的线路等)的确定可以基于温度相关和距离因素两者。
[0111] 图12和13解说了其中布线决策可考虑温度相关和线路距离两者的情景。图12和13 均解说了相同的相关矩阵1200,但分别描绘了不同线路1202和1302。线路1202具有比线路 1302高的温度相关,而线路1302比线路1202短。
[0112] 相应地,布线决策可以考虑到线路长度和线路的温度相关以确定哪条线路更好。 如本文所讨论的,在标识较佳线路时要考虑的因素可包括但不限于偏斜量、与相关联线路 的偏斜匹配、以及功耗。
[0113] 这些不同因素逐线路比较的方式可采取各种形式。作为一个示例,布线方案可计 算关于线路1202和1302的这些因素之间的差异,并且比较这些差异(例如,通过使用加权因 子)以确定线路1202的改进温度相关是否以期望性能(例如,偏斜、功耗等)的形式抵消了线 路1202的较长长度。作为另一示例,布线方案可为每个线路1202和1302计算度量,该度量考 虑到温度相关和线路长度两者。布线方案随后可将关于线路1202的度量与关于线路1302的 度量进行比较以标识最佳线路。
[0114] 如以上所提及的,如本文所教导的热量知悉式布线可有利地被用来匹配相关导线 的信号传播特性。这些示例中的两个示例将结合图14和15来描述。
[0115] 图14解说了按照将时钟树的所有分支放置在高温度相关的路径上的方式来布线 的时钟树的示例。来自时钟源(未示出)的时钟信号被耦合至分支点1402。时钟树的第一分 支1404从分支点1402布线到第一阱点1406。时钟树的第二分支1408从分支点1402布线到第 二阱点1410。如所指示的,第一和第二分支1404和1408的相应线路被选择以具有与第一和 第二阱点1406和1410的高温度相关。
[0116] 虽然本文的讨论往往被称为相对于两个目标点的温度相关,但可基于与不同数目 的目标点的相关来选择路径。例如,时钟树可具有不止两个阱点(例如,图14的点1406、1410 和1412)。在此类情形中,本文所描述的技术可被用来通过标识相对于三个或更多个目标点 (例如,阱点)提供沿线路的最佳温度相关的多分支线路来针对时钟树的多个分支标识线 路。
[0117] 图15解说了按照将差分对的两条轨道放置在高温度相关的路径上的方式来布线 的差分对的示例。在该示例中,定义第一网格1502(包括顶部三行)以用于对差分对的第一 轨道1504进行布线,并且定义第二网格1506(包括底部三行)以用于对差分对的第二轨道 1508进行布线。
[0118] 第一轨道1504源于第一源点1510并且阱于第一阱点1512。第二轨道1508源于第二 源点1514并且阱于第二阱点1516。如所指示的,第一和第二轨道1504和1508的相应线路被 各自选择以具有与相应阱点和源点的高温度相关。
[0119] 图16解说了可被用来对图14和15的导线进行布线的迷宫布线过程1600的示例。过 程1600可发生在处理电路1810(图18)内,该处理电路可位于电路设计工具或某种其他合适 的装置中。在另一方面,过程1600可由图17中解说的电路设计系统1700来实现。当然,在本 公开的范围内的各个方面,过程1600可以由能够支持导线布线操作的任何合适的装置来实 现。
[0120]在框1602,确定时变空间相关矩阵(map)。例如,装置可生成矩阵或者从另一装置 接收矩阵。
[0121] 在框1604处,作出关于时钟树是否正被合成的确定。若否(例如,代替时钟树布线 正执行详细布线),则操作流行进至框1608。
[0122] 如果时钟树正被合成,则操作流行进至框1606,其中指定时钟树的合并点。具体而 言,合并点被置于合并线中具有最大相关权重且不是热点的点处。在一些实现中,合并线通 过计算目标点之间的Manhattan距离来标识。图14解说了与第一和第二讲点1406和1410等 距的合并线1414的示例。合并线1414与网格中的预期合并点相交。因此,框1606的操作可涉 及确定每一个预期合并点的相关余因子,以及选择具有最高相关权重的合并点,假定预期 合并点不是热点的情况下。
[0123] 在框1608,调用迷宫布线以标识具有最高相关余因子权重的线路,其中该线路被 Manhattan距离限制。
[0124] 图17解说了本公开的一个或多个方面可在其中得到应用的电路设计系统1700的 示例。系统1700包括计算机1702(例如,工作站),其与用户显示设备1704和用户输入设备 1706通信地耦合。计算机1702还通信地耦合至网络1708以使得由计算机1702生成的设计数 据能够被传输到制造 PCB、IC等的制造系统1710。
[0125] 计算机1702包括处理器1712和存储器1714。该处理器1712可以是任何合适类型的 处理单元,诸如中央处理单元(CPU)、协处理器、算术处理单元、图形处理单元(GPU)、数字信 号处理器(DSP)等。存储器1714可包括任何合适类型的存储器技术,诸如,RAM、ROM、FLASH、 盘驱动器、以及类似物。
[0126] 存储在存储器1714中和/或由处理器1712实现的布线程序1716和仿真模型1718使 得用户能够根据本文的教导来生成电路设计。例如,用户可使用显示设备1704和用户输入 设备将电路安置在至少一个基板(例如,1C或PCB的基板)上,随时间推移仿真该至少一个基 板上的各个位置处的温度特性,生成相关矩阵,生成余因子矩阵,以及选择具有最佳温度相 关的线路,如本文所教导的。用户随后可向制造系统1710发送结果所得的线路设计1720以 产生期望电路组件。
[0127] 现在转到图18,根据本公开的至少一个示例示出解说了装置1800(诸如图17的计 算机1702)的组件选集的框图。装置1800(例如,设计工具)包括外部总线接口 1802、存储介 质1804、用户接口 1806、存储器设备1808以及处理电路1810。处理电路耦合至外部总线接口 1802、存储介质1804、用户接口 1806和存储器设备1808中的每一者或被置于与其处于电通 {目。
[0128] 外部总线接口 1802提供接口以供装置1800的各组件与外部总线1812对接。外部总 线接口 1802可包括例如以下一者或多者:信号驱动器电路、信号接收机电路、放大器、信号 滤波器、信号缓冲器、或者用来与信令总线或其它类型的信令媒体对接的其它电路系统。
[0129] 处理电路1810被安排成获得、处理和/或发送数据、控制数据的访问与存储、发布 命令,以及控制其他期望操作。在至少一个示例中,处理电路1810可包括被适配成实现由恰 适介质提供的期望编程的电路系统。在一些实例中,处理电路1810可包括适配成执行期望 功能(在实现编程或在不实现编程的情况下)的电路系统。作为示例,处理电路1810可被实 现为一个或多个处理器、一个或多个控制器、和/或配置成执行可执行编程和/或期望功能 的其他结构。处理电路1810的示例可包括被设计成执行本文所描述的功能的通用处理器、 数字信号处理器(DSP)、专用集成电路(ASIC)、现场可编程门阵列(FPGA)或其他可编程逻辑 组件、分立的门或晶体管逻辑、分立的硬件组件、或其任何组合。通用处理器可包括微处理 器,以及任何常规的处理器、控制器、微控制器、或状态机。处理电路1810还可以实现为计算 组件的组合,诸如DSP与微处理器的组合、数个微处理器、与DSP核协作的一个或多个微处理 器、ASIC和微处理器、或任何其他数目的变化配置。处理电路1810的这些示例是为了解说, 并且还设想了落在本公开范围内的其他合适的配置。
[0130]处理电路1810被适配成用于进行处理,包括执行可存储在存储介质1804上的编 程。如本文中使用的,术语"编程"或"指令"应当被宽泛地解释成不构成限定地包括指令集、 指令、代码、代码段、程序代码、程序、编程、子程序、软件模块、应用、软件应用、软件包、例 程、子例程、对象、可执行件、执行的线程、规程、函数等,无论其被称为软件、固件、中间件、 微代码、硬件描述语言、还是其他术语。
[0131] 在一些实例中,处理电路1810可包括以下一者或多者:用于标识预期线路的模块 1814,用于确定预期线路的温度特性的模块1816,用于选择预期线路之一的模块1818,用于 存储对所选线路的指示的模块1820,用于标识点网格的模块1822,用于确定电子电路的温 度特性的模块1824,用于确定预期线路的距离的模块1826,或者用于选择分支点的模块 1828。
[0132] 用于标识预期线路的模块1814可包括被适配成以下操作的电路系统和/或编程 (例如,存储在存储介质1804上的用于标识预期线路的模块1830):收集关于布线区域内两 个或更多个目标点的信息,计算目标点之间可穿过布线区域的不同线路,以及生成对预期 线路的指示。
[0133] 用于确定预期线路的温度特性的模块1816可包括被适配成以下操作的电路系统 和/或编程(例如,存储在存储介质1804上的用于确定预期线路的温度特性的模块1832):获 取关于预期线路的信息,计算沿每个预期线路的各个点的温度特性,以及生成对温度特性 的指示。
[0134] 用于选择预期线路之一的模块1818可包括被适配成以下操作的电路系统和/或编 程(例如,存储在存储介质1804上的用于选择预期线路之一的模块1834):获取关于预期线 路的温度特性的信息,从不同温度特性当中标识最佳温度特性,以及生成关于与最佳温度 特性相关联的线路的信息。
[0135] 用于存储对所选线路的指示的模块1820可包括被适配成以下操作的电路系统和/ 或编程(例如,存储在存储介质1804上的用于存储对所选线路的指示的模块1836):获取关 于所选线路的信息,生成指示所选线路的指示,生成包括该指示的信号,以及向存储器设备 发送该信号。
[0136] 用于标识点网格的模块1822可包括被适配成以下操作的电路系统和/或编程(例 如,存储在存储介质1804上的用于标识点网格的模块1838):获取关于一个或多个电路的布 线区域的信息,定义该区域内的点网格,以及生成对所定义的点网格的指示。
[0137] 用于确定电子电路的温度特性的模块1824可包括被适配成以下操作的电路系统 和/或编程(例如,存储在存储介质1804上的用于确定电子电路的温度特性的模块1840):获 取关于电子电路的信息,确定电子电路在一个或多个操作条件下的温度特性,以及生成对 温度特性的指示。
[0138] 用于确定预期线路的距离的模块1826可包括被适配成以下操作的电路系统和/或 编程(例如,存储在存储介质1804上的用于确定预期线路的距离的模块1842):获取关于预 期线路的信息,计算预期线路的距离,以及生成对预期线路的距离的指示。
[0139] 用于选择分支点的模块1828可包括被适配成以下操作的电路系统和/或编程(例 如,存储在存储介质1804上的用于选择分支点的模块1844):获取关于目标点的信息,标识 目标点之间的预期分支点,选择预期分支点之一,以及生成标识所选分支点的指示。
[0140] 存储介质1804可代表用于存储编程、电子数据、数据库、或其他数字信息的一个或 多个处理器可读设备。存储介质1804还可被用于存储由处理电路1810在执行编程时操纵的 数据。存储介质1804可以是能被处理电路1810访问的任何可用介质,包括便携式或固定存 储设备、光学存储设备、以及能够存储、包含和/或携带编程的各种其他介质。作为示例而非 限定,存储介质1804可包括处理器可读存储介质,诸如磁存储设备(例如,硬盘、软盘、磁 条)、光学存储介质(例如,压缩盘(CD)、数字多用盘(DVD))、智能卡、闪存设备(例如,记忆 卡、记忆棒、钥匙驱动器)、随机存取存储器(RAM)、只读存储器(ROM)、可编程ROM(PROM)、可 擦式PROM(EPROM)、电可擦式PROM(EEPROM)、寄存器、可移动盘、和/或用于存储编程的其他 介质、以及其任何组合。因此,在一些实现中,存储介质可以是非瞬态(例如,有形)存储介 质。
[0141] 存储介质1804可被耦合至处理电路1810以使得处理电路1810能从/向存储介质 1804读取信息和写入信息。也就是说,存储介质1804可耦合至处理电路1810,从而存储介质 1804至少能由处理电路1810访问,包括其中存储介质1804整合到处理电路1810的示例和/ 或其中存储介质1804与处理电路1810分开的示例。
[0142] 由存储介质1804存储的编程在由处理电路1810执行时使处理电路1810执行本文 描述的各种功能和/或过程步骤中的一者或多者。例如,存储介质1804可包括以下一者或多 者:用于标识预期线路的模块1830,用于确定预期线路的温度特性的模块1832,用于选择预 期线路之一的模块1834,用于存储对所选线路的指示的模块1836,用于标识点网格的模块 1838,用于确定电子电路的温度特性的模块1840,用于确定预期线路的距离的模块1842,或 者用于选择分支点的模块1844。因此,根据本公开的一个或多个方面,处理电路1810被适配 成(结合存储介质1804)执行用于本文所描述的任何或所有装置的任何或所有过程、功能、 步骤和/或例程。如本文所使用的,涉及处理电路1810的术语"被适配"可指代处理电路1810 (协同存储介质1804)被配置、采用、实现和/或编程(以上一者或多者)为执行根据本文描述 的各种特征的特定过程、功能、步骤和/或例程。
[0143] 存储器设备1808可表示一个或多个存储器设备并且可包括以上列出的任何存储 器技术或者任何其它合适的存储器技术。存储器设备1808可存储电路设计信息(诸如但不 作为限定,所选线路的指示)连同装置1800的一个或多个组件所使用的其它信息。存储器设 备1808还可用于存储由处理电路1810或由装置1800的某种其他组件操纵的数据。在一些实 现中,存储器设备1808和存储介质1804被实现为共用存储器组件。
[0144] 用户接口 1806包括使得用户能够与装置1800交互的功能性。例如,用户接口 1806 可与一个或多个用户输出设备(例如,显示设备等)以及一个或多个用户输入设备(例如,按 键板、触觉输入设备等)对接。
[0145] 图19解说了根据本公开的一些方面的用于导线布线的过程1900。过程1900可发生 在处理电路1810(图18)内,该处理电路可位于电路设计工具或某种其他合适的装置中。在 另一方面,过程1900可由图17中解说的电路设计系统1700来实现。当然,在本公开的范围内 的各个方面,过程1900可以由能够支持导线布线操作的任何合适的装置来实现。
[0146] 在框1902,标识至少一个基板上用于对导线进行布线的预期线路。例如,用于第一 端点与第二端点之间的导线的不同线路可在该至少一个基板上所定义的点网格内标识。该 至少一个基板可包括印刷电路板基板、集成电路管芯基板、或者某种其它类型的基板。
[0147] 在框1904,对于在框1902标识出的每一个预期线路,确定与该预期线路相关联的 温度特性。例如,框1904的操作可涉及对于沿相应预期线路的点集合中的每一个点,确定该 点同与导线的布线相关联的第一和第二端点之间的温度相关。
[0148] 在一些方面,与预期线路相关联的温度特性的确定可涉及针对点网格中的每一个 点,确定该点的温度特性;基于这些点的温度特性来生成对应于点网格的空间相关矩阵;基 于空间相关矩阵生成相关余因子矩阵,其中相关余因子矩阵对于点网格中的每一个点将该 点的温度特性同与导线的布线相关联的第一和第二端点的温度特性相关;以及对于每一个 预期线路,基于相关余因子矩阵确定预期线路与第一和第二端点之间的温度相关。
[0149] 在框1906,基于框1904处确定的温度特性选择预期线路之一。在一些方面,线路的 选择包括确定哪一个预期线路具有同与导线的布线相关联的第一和第二端点的最高温度 相关。在一些方面,线路的选择包括确定与不同预期线路相关联的多个不同点集合中的哪 一个点集合具有同与导线的布线相关联的第一和第二端点的最高集体温度相关。在一些方 面,布线的选择包括拒绝预期线路中穿过至少一个基板的与超过阈值温度的温度相关联的 区域的任何预期线路。在一些方面,布线的选择包括拒绝预期线路中穿过至少一个基板的 与落在低于阈值温度的温度相关联的区域的任何预期线路。
[0150] 在框1908,在存储器设备中存储对所选线路的指示。例如,该指示可连同其它布线 数据以及与电路设计相关联的其它电路数据一起被存储。
[0151]图20解说了用于制备至少一个基板的过程2000,该至少一个基板具有形成于其上 的沿从多个预期线路当中选择的与最平滑温度梯度相关联的线路的导线。过程2000的一个 或多个操作可发生在处理电路1810(图18)内,该处理电路可位于电路设计工具或某种其他 合适的装置中。在另一方面,过程2000的一个或多个操作可由图17中解说的电路设计系统 1700来实现。当然,在本公开的范围内的各个方面,过程2000可以由能够支持导线布线操作 的任何合适的装置来实现。
[0152] 在框2002,标识至少一个基板上用于对导线进行布线的预期线路。在一些方面,框 2002的操作可对应于框1902的操作。同样如以上所讨论的,该至少一个基板可包括印刷电 路板基板、集成电路管芯基板、或者某种其它类型的基板。
[0153] 在框2004,对于在框2002标识出的每一个预期线路,确定与该预期线路相关联的 温度梯度。在一些方面,温度梯度的确定可包括对于沿相应预期线路的点集合中的每一个 点,确定该点同与导线的布线相关联的第一和第二端点之间的温度相关。
[0154] 在一些方面,温度梯度的确定可涉及:针对点网格中的每一个点,确定该点的温度 特性;基于这些点的温度特性来生成对应于点网格的空间相关矩阵;基于空间相关矩阵生 成相关余因子矩阵,其中相关余因子矩阵对于点网格中的每一个点将该点的温度特性同与 导线的布线相关联的第一和第二端点的温度特性相关;以及对于每一个预期线路,基于相 关余因子矩阵确定预期线路与第一和第二端点之间的温度相关。
[0155] 在框2006,基于这些预期线路中的哪一个预期线路具有这些预期线路中的最平滑 温度梯度来选择预期线路之一。在一些方面,预期线路之一的选择可包括确定哪一个预期 线路具有同与导线的布线相关联的第一和第二端点的最高温度相关。在一些方面,预期线 路之一的选择可包括确定哪一个点集合具有同与导线的布线相关联的第一和第二端点的 最高集体温度相关。在一些方面,预期线路之一的选择可包括拒绝穿过至少一个基板的与 超过阈值温度的温度相关联的区域的任何预期线路。在一些方面,预期线路之一的选择可 包括拒绝穿过至少一个基板的与落在低于阈值温度的温度相关联的区域的任何预期线路。
[0156] 在一些方面,最平滑的温度梯度可对应于沿所选线路与第一和第二端点的最高温 度相关。在一些方面,最平滑的温度梯度可对应于沿所选线路的最高温度特性一致性。
[0157] 在框2008,恰适的制造操作提供(例如,形成或获取)至少一个基板。例如,制造系 统可形成用于集成电路管芯的至少一个基板或者形成用于印刷电路板的至少一个基板。
[0158] 在框2010,恰适的制造操作根据所选线路在至少一个基板上形成导线。例如,基于 指示所选线路的路径的电路设计数据,制造系统可在用于集成电路管芯的至少一个基板上 或者在用于印刷电路板的至少一个基板上形成导线。
[0159] 在一些方面,所选线路不穿过至少一个基板的与超过阈值温度的温度相关联的任 何区域。在一些方面,所选线路不穿过至少一个基板的与落在低于阈值温度的温度相关联 的任何区域。
[0160] 在一些方面,所选线路可包括第一端点、第二端点、以及分支点,由此分支点关联 于与来自位于第一和第二端点之间的多个预期分支点当中与第一和第二端点的最高温度 相关。
[0161 ]在一些方面,至少一个基板可限定多个布线层(例如,堆叠基板)。在该情形中,最 平滑温度梯度可与这些预期线路中穿过多个布线层中的至少两个布线层的一个预期线路 相关联。同样,最平滑温度梯度可与这些预期线路中穿过多个布线层中的至少两个布线层 之间的通孔的一个预期线路相关联。此外,最平滑的温度梯度可与这些预期线路中倒转路 线的一个预期线路相关联。
[0162] 图21解说了根据本公开的一些方面的包括导线布线方法的附加方面的过程2100。 过程2100可发生在处理电路1810(图18)内,该处理电路可位于电路设计工具或某种其他合 适的装置中。在另一方面,过程2100可由图17中解说的电路设计系统1700来实现。当然,在 本公开的范围内的各个方面,过程2100可以由能够支持导线布线操作的任何合适的装置来 实现。
[0163] 在可任选框2102,可接收指示用于在基板(例如,单层基板或多层基板)上对导线 进行布线的区域的至少一个信号。例如,装置的接收机(例如,总线接口中的接收机电路或 处理电路)可从另一装置(例如,存储器设备或发射机电路)接收包括指示用于对导线进行 布线的区域的信息的信号(例如,包括消息)。
[0164] 在可任选框2104,可标识基板上的点网格。例如,该网格可被限定在指定布线区域 内。
[0165] 在可任选框2106,可确定要被定位在点网格附近的至少一个电子电路的至少一个 温度特性。例如,可进行仿真或作出测量以确定在(诸)电子电路的操作期间将在(诸)电子 电路附近预计的温度。在该情形中,各点的温度特性的确定(例如,在框2120)可以基于该至 少一个电子电路的至少一个温度特性。
[0166] 在可任选框2108,可选择分支点。例如,在时钟树正被布线的情况下,可以基于哪 一个预期分支点具有与第一和第二端点的最高温度相关来选择第一和第二端点之间的多 个预期分支点中的一分支点。
[0167] 在框2110处,标识预期线路。在一些方面,框2110的操作可对应于以上讨论的框 1902的操作。
[0168] 在可任选框2112,可标识穿过多个布线层中的至少两个布线层的至少一个预期线 路。在该情形中,路线的选择(例如,在框2122)可涉及向穿过多个布线层中的至少两个布线 层的每一个预期线路应用加权因子。
[0169] 在可任选框2114,可标识穿过多个布线层中的至少两个布线层之间的通孔的至少 一个预期线路。在该情形中,路线的选择可涉及向穿过多个布线层中的至少两个布线层之 间的通孔的每一个预期线路应用加权因子。
[0170] 在可任选框2116,可标识倒转路线的至少一个预期线路。在该情形中,线路的选择 可涉及向倒转路线的每一个预期线路应用加权因子。
[0171] 在可任选框2118,对于这些预期线路中的每一个预期线路,可标识与该预期线路 相关联的距离。在该情形中,线路的选择可以基于与预期线路相关联的距离。
[0172] 在框2120,对于标识出的每一个预期线路,确定与该预期线路相关联的温度特性。 在一些方面,框2120的操作可对应于以上讨论的框1904的操作。
[0173] 在框2122,基于框2120处确定的温度特性选择预期线路之一。在一些方面,框2122 的操作可对应于以上讨论的框1906的操作。
[0174] 鉴于以上内容,根据本文的教导实践的布线方案可有利地提供比常规布线方案更 高效的布线。例如,美国专利号7,155,686和8,209,651涉及显著不同的方案,其中如果导线 生成过多热量,则该导线被移动。同样,尽管美国专利号6,775,710和7,725,861在布线的上 下文中使用了术语"温度"和"热点",但这些专利并不基于实际温度执行任何布线。
[0175] 附图中解说的组件、步骤、特征和/或功能之中的一个或多个可以被重新编排和/ 或组合成单个组件、步骤、特征或功能,或可以实施在数个组件、步骤或功能中。还可添加附 加的元件、组件、步骤、和/或功能而不会脱离本文中所公开的新颖特征。各附图中所解说的 装置、设备和/或组件可以被配置成执行本文所描述的一个或多个方法、特征、或步骤。本文 中描述的新颖算法还可以高效地实现在软件中和/或嵌入在硬件中。
[0176] 应该理解,所公开的方法中各步骤的具体次序或阶层是示例性过程的解说。基于 设计偏好,应该理解,可以重新编排这些方法中各步骤的具体次序或阶层。所附方法权利要 求以样本次序呈现各种步骤的要素,且并不意味着被限定于所呈现的具体次序或阶层,除 非在本文中有特别叙述。附加的元件、组件、步骤、和/或功能也可被添加或不被利用,而不 会脱离本公开。
[0177] 尽管本公开的特征可能已经针对某些实现和附图作了讨论,但本公开的所有实现 可包括本文所讨论的有利特征中的一个或多个。换言之,尽管可能讨论了一个或多个实现 具有某些有利特征,但也可以根据本文中讨论的各种实现中的任一实现来使用此类特征中 的一个或多个。以类似方式,尽管示例实现在本文中可能是作为设备、系统或方法实现来进 行讨论的,但是应该理解,此类示例实现可以在各种设备、系统、和方法中实现。
[0178] 另外,注意到至少一些实现是作为被描绘为流图、流程图、结构图、或框图的过程 来描述的。尽管流程图可能会把诸操作描述为顺序过程,但是这些操作中有许多操作能够 并行或并发地执行。另外,这些操作的次序可以被重新安排。过程在其操作完成时终止。过 程可对应于方法、函数、规程、子例程、子程序等。当过程对应于函数时,它的终止对应于该 函数返回调用方函数或主函数。因此,本文中描述的各种方法可部分地或全部地由可存储 在机器可读、计算机可读和/或处理器可读存储介质中并由一个或多个处理器、机器和/或 设备执行的编程(例如,指令和/或数据)来实现。
[0179]本领域技术人员将可进一步领会,结合本文中公开的实现描述的各种解说性逻辑 框、模块、电路、和算法步骤可被实现为硬件、软件、固件、中间件、微代码、或其任何组合。为 清楚地解说这种可互换性,以上已经以其功能性的形式一般地描述了各种解说性组件、框、 模块、电路和步骤。此类功能性是被实现为硬件还是软件取决于具体应用和施加于整体系 统的设计约束。
[0180]在本公开内,措辞"示例性"用于表示"用作示例、实例或解说"。本文中描述为"示 例性"的任何实现或方面不必被解释为优于或胜过本公开的其他方面。同样,术语"方面"不 要求本公开的所有方面都包括所讨论的特征、优点或操作模式。术语"耦合"在本文中被用 于指两个对象之间的直接或间接耦合。例如,如果对象A物理地接触对象B,且对象B接触对 象C,则对象A和C可仍被认为是彼此耦合的一一即便它们并非彼此直接物理接触。例如,第 一管芯可以在封装中耦合至第二管芯,即便第一管芯从不直接与第二管芯物理接触。术语 "电路"和"电路系统"被宽泛地使用且意在包括电子器件和导体的硬件实现以及信息和指 令的软件实现两者,这些电子器件和导体在被连接和配置时使得能执行本公开中描述的功 能而在电子电路的类型上没有限制,这些信息和指令在由处理器执行时使得能执行本公开 中描述的功能。
[0181]如本文所使用的,术语"确定"涵盖各种各样的动作。例如,"确定"可包括演算、计 算、处理、推导、研究、查找(例如,在表、数据库或其他数据结构中查找)、查明、及类似动作。 而且,"确定"可包括接收(例如接收信息)、访问(例如访问存储器中的数据)、及类似动作。 同样,"确定"还可包括解析、选择、选取、建立、及类似动作。
[0182] 提供先前描述是为了使本领域任何技术人员均能够实践本文中所描述的各种方 面。对这些方面的各种改动将容易为本领域技术人员所明白,并且在本文中所定义的普适 原理可被应用于其他方面。因此,权利要求并非旨在被限定于本文中所示出的各方面,而是 应被授予与权利要求的语言相一致的全部范围,其中对要素的单数形式的引述并非旨在表 示"有且仅有一个"一一除非特别如此声明,而是旨在表示"一个或多个"。除非特别另外声 明,否则术语"一些"指的是一个或多个。引述一列项目中的"至少一个"的短语是指这些项 目的任何组合,包括单个成员。作为示例,"a、b或c中的至少一者"旨在涵盖:a;b;c;a和b;a 和c;b和c;以及a、b和c。本公开通篇描述的各种方面的要素为本领域普通技术人员当前或 今后所知的所有结构上和功能上的等效方案通过引述被明确纳入于此,且旨在被权利要求 所涵盖。此外,本文中所公开的任何内容都并非旨在贡献给公众,无论这样的公开是否在权 利要求书中被显式地叙述。权利要求的任何要素都不应当在35U.S.C.§112第六款的规定下 来解释,除非该要素是使用措辞"用于……的装置"来明确叙述的或者在方法权利要求情形 中该要素是使用措辞"用于……的步骤"来叙述的。
[0183] 相应地,与本文中所描述的和附图中所示的示例相关联的各种特征可实现在不同 示例和实现中而不会脱离本公开的范围。因此,尽管某些具体构造和安排已被描述并在附 图中示出,但此类实现仅是解说性的并且不限制本公开的范围,因为对所描述的实现的各 种其他添加和修改、以及删除对于本领域普通技术人员而言将是明显的。因此,本公开的范 围仅由所附权利要求的字面语言及其法律等效来确定。
【主权项】
1. 一种布线装置,包括: 存储器设备; 至少一个处理器,其耦合至所述存储器设备并被配置成: 标识用于通过至少一个基板对导线进行布线的多个预期线路; 对于所述预期线路中的每一个预期线路,确定与该预期线路相关联的温度特性; 基于所述预期线路的温度特性来选择所述预期线路之一;以及 在所述存储器设备中存储对所选线路的指示。2. 如权利要求1所述的装置,其特征在于: 所述导线的布线在第一端点与第二端点之间;以及 所述处理电路被进一步配置成通过确定哪一个预期线路具有与所述第一和第二端点 的最高温度相关来选择所述预期线路之一。3. 如权利要求1所述的装置,其特征在于: 所述导线的布线在第一端点与第二端点之间;以及 所述处理电路被进一步配置成通过对于沿相应预期线路的点集合中的每一个点确定 该点与所述第一和第二端点之间的温度相关来确定所述温度特性。4. 如权利要求3所述的装置,其特征在于,所述处理电路被进一步配置成通过确定哪一 个点集合具有与所述第一和第二端点的最高集合温度相关来选择所述预期线路之一。5. 如权利要求1所述的装置,其特征在于,所述处理电路被进一步配置成通过拒绝所述 预期线路中穿过所述至少一个基板的与超过阈值温度的温度相关联的区域的任何预期线 路来选择所述预期线路之一。6. 如权利要求1所述的装置,其特征在于,所述处理电路被进一步配置成通过拒绝所述 预期线路中穿过所述至少一个基板的与落在低于阈值温度的温度相关联的区域的任何预 期线路来选择所述预期线路之一。7. 如权利要求1所述的装置,其特征在于,所述处理电路被进一步配置成: 标识所述至少一个基板上的点网格;以及 通过标识所述点网格内的线路来标识所述多个预期线路, 其中所述导线的布线在所述点网格内的第一端点和第二端点之间。8. 如权利要求7所述的装置,其特征在于,所述处理电路被进一步配置成通过以下操作 确定与所述预期线路相关联的温度特性: 针对所述点网格中的每一个点,确定该点的温度特性; 基于这些点的温度特性来生成对应于所述点网格的空间相关矩阵; 基于所述空间相关矩阵生成相关余因子矩阵,其中所述相关余因子矩阵对于所述点网 格中的每一个点将该点的温度特性与所述第一和第二端点的温度特性相关;以及 对于每一个预期线路,基于相关余因子矩阵确定预期线路与第一和第二端点之间的温 度相关。9. 如权利要求8所述的装置,其特征在于,所述处理电路被进一步配置成通过确定哪一 个预期线路具有与所述第一和第二端点的最高温度相关来选择所述预期线路之一。10. 如权利要求8所述的装置,其特征在于,所述处理电路被进一步配置成: 确定要被定位在所述点网格附近的至少一个电子电路的至少一个温度特性;以及 基于所述至少一个电子电路的至少一个温度特性来确定各个点的温度特性。11. 如权利要求1所述的装置,其特征在于,所述处理电路被进一步配置成: 对于所述预期线路中的每一个预期线路,确定与该预期线路相关联的距离;以及 基于与所述预期线路相关联的距离来选择所述预期线路之一。12. 如权利要求1所述的装置,其特征在于: 所述导线的布线在第一端点与第二端点之间;以及 所述处理电路被进一步配置成基于哪一个预期分支点具有与所述第一和第二端点的 最高温度相关来选择所述第一和第二端点之间的多个预期分支点中的一个分支点。13. 如权利要求1所述的装置,其特征在于: 所述至少一个基板包括多个堆叠基板;以及 所述处理电路被进一步配置成在所述多个堆叠基板上标识所述多个预期线路。14. 如权利要求1所述的装置,其特征在于: 所述至少一个基板限定多个布线层;以及 所述处理电路被进一步配置成: 标识穿过所述多个布线层中的至少两个布线层的至少一个预期线路,以及 通过向穿过所述多个布线层中的至少两个布线层的每一个预期线路应用加权因子来 选择所述预期线路之一。15. 如权利要求1所述的装置,其特征在于: 所述至少一个基板限定多个布线层;以及 所述处理电路被进一步配置成: 标识穿过所述多个布线层中的至少两个布线层之间的通孔的至少一个预期线路,以及 通过向穿过所述多个布线层中的至少两个布线层之间的通孔的每一个预期线路应用 加权因子来选择所述预期线路之一。16. 如权利要求1所述的装置,其特征在于,所述处理电路被进一步配置成: 标识倒转路线的至少一个预期线路;以及 通过向倒转路线的每一个预期线路应用加权因子来选择所述预期线路之一。17. 如权利要求1所述的装置,其特征在于,所述至少一个基板包括印刷电路板基板。18. 如权利要求1所述的装置,其特征在于,所述至少一个基板包括集成电路管芯基板。19. 一种布线方法,包括: 接收指示用于通过至少一个基板对导线进行布线的区域的至少一个信号; 标识用于在所述区域内对所述导线进行布线的多个预期线路; 对于所述预期线路中的每一个预期线路,确定与该预期线路相关联的温度特性; 基于所述预期线路的温度特性来选择所述预期线路之一;以及 存储对所选线路的指示。20. 如权利要求19所述的方法,其特征在于: 所述导线的布线在第一端点与第二端点之间;以及 所述预期线路之一的选择包括:确定哪一个预期线路具有与所述第一和第二端点的最 高温度相关。21. 如权利要求19所述的方法,其特征在于: 所述导线的布线在第一端点与第二端点之间;以及 所述温度特性的确定包括:对于沿相应预期线路的点集合中的每一个点确定该点与所 述第一和第二端点之间的温度相关。22. 如权利要求21所述的方法,其特征在于,所述预期线路之一的选择包括:确定哪一 个点集合具有与所述第一和第二端点的最高集合温度相关。23. 如权利要求19所述的方法,其特征在于,所述预期线路之一的选择包括:拒绝预期 线路中穿过所述至少一个基板的与超过阈值温度的温度相关联的区域的任何预期线路。24. 如权利要求19所述的方法,其特征在于,所述预期线路之一的选择包括:拒绝预期 线路中穿过所述至少一个基板的与落在低于阈值温度的温度相关联的区域的任何预期线 路。25. -种布线设备,包括: 用于标识用于通过至少一个基板对导线进行布线的多个预期线路的装置; 用于对于所述预期线路中的每一个预期线路,确定与该预期线路相关联的温度特性的 装置; 用于基于所述预期线路的温度特性来选择所述预期线路之一的装置;以及 用于存储对所选线路的指示的装置。26. 如权利要求25所述的设备,其特征在于: 所述导线的布线在第一端点与第二端点之间;以及 所述用于选择所述预期线路之一的装置被配置成确定哪一个预期线路具有与所述第 一和第二端点的最高温度相关。27. 如权利要求25所述的设备,其特征在于: 所述导线的布线在第一端点与第二端点之间;以及 所述用于确定温度特性的装置被配置成对于沿相应预期线路的点集合中的每一个点 确定该点与所述第一和第二端点之间的温度相关。28. 如权利要求27所述的设备,其特征在于,所述用于选择所述预期线路之一的装置被 配置成确定哪一个点集合具有与所述第一和第二端点的最高集合温度相关。29. 如权利要求25所述的设备,其特征在于,所述用于选择所述预期线路之一的装置被 配置成拒绝预期线路中穿过所述至少一个基板的与超过阈值温度的温度相关联的区域的 任何预期线路。30. -种基板,其具有形成于其上的沿从多个预期线路当中选择的与最平滑温度梯度 相关联的线路的导线,所述基板由包括以下步骤的过程制备: 标识用于在所述基板上对所述导线进行布线的多个预期线路; 对于所述预期线路中的每一个预期线路,确定与该预期线路相关联的温度梯度; 基于所述预期线路中的哪一个预期线路具有所述预期线路中的最平滑温度梯度来选 择所述预期线路之一; 提供所述基板;以及 根据所选线路在所述基板上形成所述导线。
【文档编号】G06F17/50GK106030584SQ201580006885
【公开日】2016年10月12日
【申请日】2015年2月6日
【发明人】C·刘
【申请人】高通股份有限公司
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1