缺陷检验方法、半导体装置的制造方法及半导体工艺方法与流程

文档序号:17041604发布日期:2019-03-05 19:16阅读:254来源:国知局
缺陷检验方法、半导体装置的制造方法及半导体工艺方法与流程

本公开涉及一种半导体集成电路制造方法,且特别有关于一种半导体集成电路的缺陷检验方法。



背景技术:

以用于图案化、沉积、移除、以及改质(modification)的各种不同工艺来制造半导体集成电路。在工艺中的各个不同阶段执行品质检验。举例来说,通常会实施蚀刻后检验(after-etch-inspection,aei)来监测在图案化工艺中的图案缺陷。

然而,当半导体集成电路的尺寸缩小,使用传统的缺陷检验方法来捕捉缺陷变得更具挑战性。因此,需要一个改善的缺陷检验方法。



技术实现要素:

本公开包含一种在光刻工艺后的缺陷检验方法,包含:蚀刻图案化光刻胶层之下的结构层以将复数图案部件从此图案化光刻胶层转换至此结构层,其中此结构层包含第一材料;以虚设填充材料填充此结构层中的图案部件,其中第一材料与虚设填充材料具有不同的光学性质,并且可从这些图案部件移除此虚设填充材料;曝露包含第一材料的结构层以及包含虚设填充材料的图案部件的上表面;以及使用检验工具检验此上表面是否有缺陷的图案部件。

本公开亦包含一种半导体装置的制造方法,包含:形成第一结构层于基板之上;形成第二结构层于此第一结构层之上,其中此第二结构层包含第一材料;涂敷光刻胶层于此第二结构层之上;使用光刻工艺图案化此光刻胶层;使用此图案化光刻胶层作为遮罩以蚀刻穿过此第二结构层与此第一结构层的一部分以形成复数图案部件;以虚设填充材料填充此些图案部件,其中虚设填充材料与第一材料具有不同的光学性质;移除过多的虚设填充材料以曝露出第一材料与虚设填充材料的上表面;以及检验此上表面是否有缺陷的图案部件。

本公开亦包含一种半导体工艺方法,包含:形成复数图案部件于氧化硅层中;以虚设填充材料填充图案部件,此虚设填充材料具有不同于氧化硅的光学性质;检验填充后的图案部件是否有缺陷;从图案部件移除虚设填充材料;以及以生产材料填充图案部件。

附图说明

通过以下的详述配合附图,可以最佳理解本公开实施例的观点。应注意的是,依据在业界的标准惯例,各种部件并未按照比例绘制。事实上,为了讨论的明确易懂,各种部件的尺寸可任意增加或减少。

图1是根据本公开的一实施例示出方法的示意流程图。

图2a-2k是根据本公开的一些实施例示出半导体装置在不同的制造阶段的部分示意图。

图3a-3d是根据本公开的一些实施例示出结构层与虚设填充材料的光学性质图。

附图标记说明:

100~方法

105、110、115、120、125、130、135、140、145~步骤

200~半导体结构

202~基板

204~第一结构层

206~第二结构层

208~光刻胶层

210、212、216、216a、216b、220、222~图案部件

214~虚设填充材料

218~目标图案部件

224~铜

226、228~临界尺寸

230~深度

232~底表面

234~上表面

302、304、306、312、314、316、322、324、326、328~曲线

308、318~光谱

具体实施方式

以下提供许多不同的实施例或示范,用于实行本公开的不同部件。以下描述了组件和配置的具体范例,以简化本公开的实施例。当然,这些实施例仅用以例示,并非意图限制本公开的范围。举例而言,在叙述中第一部件形成于第二部件之上,可能包含形成第一和第二部件直接接触的实施例,也可能包含额外的部件形成于第一和第二部件之间,使得第一和第二部件不会直接接触的实施例。另外,本公开的实施例可能在许多范例中重复元件符号及/或字母。这些重复的目的是为了简化和清楚,除非内文中特别说明,其本身并非代表各种实施例及/或所讨论的配置之间有特定的关系。

此外,在以下叙述中可使用空间上相对用语,例如「在……之下」、「在……下方」、「较低的」、「在……上方」、「较高的」和其他类似的用语,以便于说明一个(些)元件或部件与另一个(些)元件或部件之间的关系的陈述。此空间相对用语除了包含附图所示出的方位,还包含装置在使用或操作中的不同方位。装置亦可转向至其他方位(旋转90度或在其他方位),且在此使用的空间相对描述亦依转向后的方位相应地解读。

图1是根据本公开的各种实施例的流程图,其示出制造半导体装置的例式性的方法100。图2a-2k是根据图1的流程图示出半导体结构200在不同的制造阶段的部分示意图。所属本领域中技术人员应能理解,形成半导体装置的完整工艺与相关的结构并未示出于附图中或在此讨论。虽然各种步骤示出于附图中并在此讨论,但并未隐含限定了关于这些步骤的顺序、或者存在或缺少插入步骤。按序示出或讨论的步骤仅是为了解释目的,而不排除各个步骤实际上至少部分地(如果非全部)以并行或重叠的方式执行的可能性,除非有明确地指出步骤的顺序。

半导体结构200可为形成于半导体基板之上的任何适合的结构,例如:具有扩散区(例如:主动区)形成于之间的浅沟槽隔离结构(shallowtrenchisolation,sti)、具有导电与非导电区域的互连结构、形成于浅沟槽隔离结构周围的鳍结构(例如:鳍式场效晶体管(finfieldeffecttransistor,finfet)结构)、栅极结构、接触件结构、前段(front-end)结构、后段(back-end)结构、或任何其他适合使用于半导体应用的结构。

如图2a所示出,方法100以步骤105开始,在基板202之上形成图案于光刻胶层208中。基板202的例式性的材料包含选自于结晶硅、氧化硅、应变硅、硅锗(silicongermanium)、掺杂或无掺杂多晶硅(polysilicon)、掺杂或无掺杂硅晶圆与绝缘体上覆图案化或无图案化硅晶圆、掺碳氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、以及石英所组成的群组中至少一者的材料。可理解的是,基板202并不限定于任何特定的尺寸或形状。因此,基板202可为具有直径200mm、300mm、或其他尺寸的直径(例如450mm等)的圆形基板。基板202也可为任何的多边形、正方形、长方形、弧形或其他非圆形的工作件。

半导体基板202可包含形成于基板202之上的第一结构层204。值得注意的是,额外的结构、材料层、或装置结构可在形成于第一结构层204之前先形成于基板202之上,以促进包含半导体结构200的半导体装置的运行与适当功能。举例来说,前段结构,例如:栅极结构、接触件结构、或其他适合的结构可在形成第一结构层204之前先形成于基板202之上。

第一结构层204可为设置于栅极结构周围的掺杂氧化层或互连结构中的层间介电层。在一些实施例中,第一结构层204为低介电常数(low-k)介电层,例如:热氧化硅(thermalsiliconoxide)、掺磷硅酸盐玻璃(phosphorous-dopedsilicateglass,psg)、含氧氟硅玻璃(siliconoxyfluoride,fsg)、氢硅盐酸类(hydrogensilsesquioxane,hsq)、纳米孔洞二氧化硅(nanoporoussilica)、聚亚芳香醚(polyaryleneether,pae)、氟化非晶质碳(fluorinatedamorphouscarbon,α-cf)、或其他适合作为层间介电质使用的材料。在一实施例中,第一结构层204是掺磷硅酸盐玻璃(psg)层,其通过以四乙氧基硅烷(tetraethylorthosilicate,teos)作为前驱物的化学气相沉积(chemicalvapordeposition,cvd)工艺所形成。

第二结构层206形成于第一结构层204之上。第二结构层206可为将被图案化的任何结构层,例如使用光刻胶层来图案化。第二结构层206可为层间介电层、盖氧化层(capoxidelayer)、硬遮罩层、多晶硅层、以及其他类似的材料。第二结构层206可使用任何适合的技术来形成,例如:化学气相沉积工艺(cvd)、等离子体增强化学气相沉积(plasma-enhancedcvd,pecvd)工艺、或磊晶沉积工艺。

在一些实施例中,第二结构层206可为氧化硅层,例如:无掺杂的硅酸盐玻璃(un-dopedsilicateglass,usg)盖氧化层。无掺杂的硅玻璃盖氧化层可通过以四乙氧基硅烷(teos)作为前驱物的等离子体增强化学气相(pecvd)沉积工艺所形成。在其他实施例中,第二结构层206可为氮化硅层、金属氮化层、聚硅氧(silicone)层、或金属氧化层。

在步骤105,涂敷光刻胶层208于第二结构层206之上,以将图案转换至第二结构层206。如图2a所示出,使用光刻工艺在光刻胶层208中形成图案部件210,从而将几何图案从光遮罩转换至基板上的光刻胶层。可实施此光刻工艺于基板202的整体或一部分之上。

步骤105所使用的光刻工艺可为任何适合的光刻工艺,例如:紫外光刻术(ultraviolet(uv)photolithography)、浸渍光刻术(immersionphotolithography)、以及极紫外光(euv)光刻术,其取决于部件的最小尺寸,也称为将形成的图案的临界尺寸(criticaldimension,cd)。当图案部件210为一个孔洞时,其临界尺寸可为此图案部件的直径,当图案部件210为一条线时,其临界尺寸可为此图案部件的线宽,或者重复的图案部件210的半节距(halfpitch)的两倍。在图2a中,图案部件210为具有显影后的临界尺寸226的孔洞。应注意的是,图案部件210可为任何适合的部件以实现半导体结构200的预期的功能。

在一实施例中,图案部件210的临界尺寸226可小于约30纳米。举例来说,图案部件210的临界尺寸226在约30纳米至约16纳米的范围。图案部件210可通过极紫外光刻术来形成。极紫外光刻术可使用具有波长约为13.5纳米或小于13.5纳米的光源来实施。

在半导体制造期间,可实施显影后检验(after-developinspection,adi)来监控图案化工艺以及减少在光刻工艺中的图案化缺陷。然而,因为光刻胶层中的图案部件具有低对比度,并且透明的光刻胶层可能造成前层(priorlevel)缺陷与光刻胶层中的缺陷混淆,所以显影后检验为具挑战性的检验。当临界尺寸缩小时,例如在使用极紫外光刻术所形成的图案中,显影后检验变得更加具有挑战性。本公开的实施例是提供一种检验方法,以监控在转换光刻胶层208中的图案部件210至光刻胶层208下的第二结构层206之后的图案化工艺。

在步骤110中,实施适合的蚀刻工艺以转换光刻胶层208中的图案部件210至第二结构层206。如图2b所示出,图案部件212形成于第二结构层206中。图案部件212可具有蚀刻后临界尺寸228。虽然临界尺寸228与临界尺寸226之间存在着称为临界尺寸偏差(criticaldimensionbias)的差异,但通常会采取一些步骤来控制临界尺寸偏差,并且临界尺寸228的测量可用来监控图案化工艺中的图案化缺陷。

蚀刻工艺可为干蚀刻工艺。在一实施例中,可使用反应式离子蚀刻(reactiveionetching,rie)来蚀刻包含以等离子体增强化学气相沉积所形成的氧化硅的第二结构层206。特别是,可在反应式离子腔室中使用包含四氟化碳(carbontetrafluoride,cf4)与三氟甲烷(carbontrifluoride,chf3)的混和工艺气体,以图案化光刻胶层208作为遮罩来移除第二结构层206。

图案部件212也可具有深度230。在一些实施例中,图案部件212的深度230只是在生产工艺中所预期的深度的一部分。举例来说,在生产工艺期间,在步骤105中的光刻工艺后所实施的蚀刻工艺,可在蚀刻穿过第二结构层206与第一结构层204两者之后,使用基板202作为蚀刻停止与终止。当图案部件212的深度230对于检验工具而言足以测量到蚀刻后的临界尺寸228时,可停止步骤110的蚀刻工艺。举例来说,深度230可大于临界尺寸228的约1.5倍。在一些实施例中,深度230可为临界尺寸226的约1.5倍至约3.0倍。当图案部件具有临界尺寸为约30纳米,则深度230可为大于45纳米,例如约45纳米至约90纳米。

可通过使用估计或测量蚀刻速率来控制操作时间以实现深度230。举例来说,当估计的蚀刻速率为约2纳米/秒(nm/s)时,实施干蚀刻工艺在22至45秒的一段期间,此干蚀刻工艺使用包含四氟化碳(cf4)与三氟甲烷(chf3)的混和工艺气体至通过等离子体增强化学气相沉积所形成的氧化硅。

如图2b所示出,图案部件212在第一结构层204中具有底表面232。或者,图案部件212的底表面232可在第二结构层206中。或者,图案部件212的底表面232可为基板202。

在蚀刻后检验(afteretchinspection,aei)的过程中使用检验工具,可检验如图2b所示出的半导体结构200在此时是否有缺陷。检验工具通常会发射辐射至半导体结构200并检测从半导体结构200反射及/或散射的辐射来产生光学影象。所检测到的辐射取决于光学性质,例如:表面结构的折射率。因为图案部件212为第二结构层206中的中空空间,空气的光学性质反映了图案部件212,而第二结构层206的光学性质反映为背景。然而,当第二结构层206的光学性质相似于空气的光学性质,其难以将图案部件212从背景(即第二结构层206)区别出来。

在步骤115中,如图2c所示出,以虚设填充材料214填充图案部件212。可选择虚设填充材料214,使得虚设填充材料214与第二结构层206具有不同的光学性质,以增加检验结果的对比度。在一实施例中,当虚设填充材料214与第二结构层206中的材料的光学性质差异大于检验工具所使用的光谱中的临界值(thresholdvalue)时,两者的光学性质将被视为不同。举例来说,临界值可约为第二结构层206中的材料的光学性质数值约10%至约50%的范围内。在一些实施例中,临界值可约为第二结构层206中的材料的光学性质数值的约30%。举例来说,可选择虚设填充材料214,其相较于第二结构层206具有不同的复折射率(complexrefractiveindex)、折射率(refractiveindex)、吸光系数(extinctioncoefficient)、电容率(permittivity)、反射系数(reflectioncoefficient)、或前述的组合。在一些实施例中,选择虚设填充材料214,其在检验工具所使用的波长或光谱中,具有不同于第二结构层206的折射率。不同的折射率能够增加检验影像的对比度,因而改善缺陷捕捉率(defectcapturerate)。

虚设填充材料214的一或多个光学性质(包含但不限定于不同的复折射率、折射率、吸光系数、电容率、反射系数)为第二结构层中的材料的相同光学性质的0%至约90%的范围,或是在约110%至约1000%的范围。举例来说,虚设填充材料214的一或多个光学性质(包含不同的复折射率、折射率、吸光系数、电容率、反射系数,但不限定于此)为第二结构层206中的材料的相同光学性质的0%至约70%的范围,或在约130%至约250%的范围。在一实施例中,虚设填充材料214的电容率为空气电容率的约130%至250%的范围。

在当第二结构层206包含氧化硅的情况中,虚设填充材料214可为底部抗反射涂层(bottomanti-reflectivecoating,barc)材料、以硅为主的材料(例如:非晶硅和氮化硅)、金属氧化物(例如:氧化铝和氧化铪)、金属氮化物(例如:氮化钛和氮化钽)、金属(例如:铜和钨)、以及前述的组合、或具有不同的光学性质的其他适合的材料。虚设填充材料214可通过涂布工艺(coatingprocess)、原子层沉积(atomiclayerdeposition,ald)工艺、化学气相沉积工艺、物理气相沉积工艺、或其他适合金属形态的工艺。

例示性的底部抗反射涂层材料包含氧化硅(siliconoxide)、碳氧化硅(siliconoxycarbide)、氮氧化硅(siliconoxynitride)、含烃氧化硅(hydrocarbon-containingsiliconoxide)、氮化硅(siliconnitride)、氮化钛(titaniumnitride)、氮化钽(tantalumnitride)、含钛材料、含钽材料、有机材料、以及任何前述的组合。可通过任何适合的技术,例如:化学气相沉积、等离子体增强化学气相沉积、高密度等离子体化学气相沉积(high-densityplasmacvd,hdp-cvd)、或旋转涂布工艺来形成底部抗反射涂层材料于第二结构层206之上。

在一实施例中,虚设填充材料214可为有机聚合的底部抗反射涂层材料,例如在此产业称为底部抗反射涂层的高度交联(cross-linking)有机聚合物。在一些实施例中,虚设填充材料214包含具有羟基(hydroxylgroups)的单体单元的底部抗反射涂层。在一些实施例中,虚设填充材料214包含具有当曝露于适合的ph值的水而经水合作用(hydration)的单体单元的底部抗反射涂层。在一些实施例中,虚设填充材料214包含具有烯烃(alkenes)、炔烃(alkynes)、或芳香族(aromaticgroups)的单体单元的底部抗反射涂层。在一些实施例中,虚设填充材料214包含具有酯类(ester)、丙烯酸酯类(acrylate)、或异氰酸酯类(isocyanate)单体单元的底部抗反射涂层。在一些实施例中,虚设填充材料214包含具有聚丙烯酸酯聚合物(acrylatepolymer)或共聚物(copolymer)的底部抗反射涂层。在一些实施例中,虚设填充材料214包含具有芳香族单体的底部抗反射涂层。在一些实施例中,虚设填充材料214包含具有苯乙烯聚合物(styrenepolymer)或共聚物。在一些实施例中,虚设填充材料214包含具有羟基、或可通过水合反应而得到羟基的底部抗反射涂层,在化学机械研磨工艺中,可通过水合反应而得到羟基的底部抗反射涂层可与氧化研磨颗粒在脱水(dehydration)反应中反应,或通过醚键结(etherlinkages)来结合研磨颗粒。

在一些实施例中,当第二结构层206包含使用四乙氧基硅烷(teos)前驱物所形成的氧化硅时,选择底部抗反射涂层材料作为虚设填充材料214。可使用旋转涂布工艺涂敷底部抗反射涂层材料于第二结构层206。

在一实施例中,第二结构层206包含使用四乙氧基硅烷(teos)前驱物所形成的氧化硅,而虚设填充材料214为非晶硅。非晶硅可通过化学气相沉积工艺来形成,例如:使用硅烷(silane,sih4)气体作为硅来源的化学气相沉积工艺。

在步骤第120中,移除图2c中在第二结构层206之上过多的虚设填充材料214。如图2d所示出,在移除之后曝露出第二结构层206。在图2d中,曝露上表面234以用于检验。上表面234包含第二结构层206和图案部件216中的虚设填充材料214,虚设填充材料214反映出图案部件212在上表面234的形状。

图2e为半导体结构200的示意的上视图。图2e示出图案部件216中虚设填充材料214的上表面234以及作为背景范围的第二结构层206的上表面。示出于图2e的图案部件216为圆孔。应注意的是,图案部件216可为线、方孔、或其他适合的形状来反映出对应的图案部件212。

在步骤120中,可使用平坦化工艺(例如:化学机械研磨(chemicalmechanicalpolishing,cmp)工艺)或蚀刻工艺(例如:灰化工艺)来移除过多的虚设填充材料214。可使用终点检测(endpointdetection)于步骤120。举例来说,当在步骤120中使用化学机械研磨工艺时,可由所消耗的研磨液体监控终点。当消耗的研磨液体包含了来自第二结构层206与虚设填充材料214的两种材料,并且虚设填充材料214对第二结构层206的材料的比例反映出图案范围与背景范围的比例,则检测到化学机械研磨工艺的终点。同样地,当在步骤120中使用蚀刻工艺,可监控蚀刻腔室的排放气流。当排放气流包含来自蚀刻第二结构层206的副产物与来自蚀刻虚设填充材料214的副产物,并且来自虚设填充材料214的副产物对来自第二结构层206的副产物的比例反映出图案范围与背景范围的比例,则检测到蚀刻工艺的终点。

在一实施例中,虚设填充材料214包含有机聚合的底部抗反射涂层材料,并且可使用蚀刻工艺(例如:使用氧等离子体的蚀刻工艺)移除过多的虚设填充材料214。在一些实施例中,虚设填充材料214包含非晶硅,并且可使用化学机械研磨工艺移除过多的虚设填充材料214。

在步骤125中,可使用检验工具来检验图案部件216是否有缺陷。检验图案部件216可通过如图2d所示出的发射辐射至半导体结构200的上表面234,并检测被半导体结构200反射及/或散射的辐射。

适合的检验工具可以是表面扫描检验系统(scanningsurfaceinspectionsystem,ssis)(例如:明视野影像系统与暗视野影像系统)、扫描式电子显微镜(scanningelectronmicroscope,sem)系统、光学显微镜系统(opticalmicroscope)、扫描试探针显微镜(scanningprobemicroscope)系统、激光显微镜(lasermicroscope)系统、穿透式显微镜(transmissionmicroscope)系统、聚焦离子束显微镜(focusionbeammicroscope)系统、或其他适合的影像系统。

在步骤125中,置放半导体结构200于检验工具中。检验工具以扫描的方式发射在检验波长或检验光谱中的辐射至半导体结构200。如图2e所示出,检验工具检测被半导体结构200反射及/或散射的辐射,以产生反映出上表面234的光学影像。

因为在图案部件216中的虚设填充材料214与第二结构层206中的材料具有不同的折射率,所以通过检验工具所捕捉到的影像也包含了图案部件216与第二结构层206之间可检测的对比度。对于具有小的临界尺寸的图案部件来说,可检测的对比度是特别地有用。

如上所讨论,可选择具有相较于第二结构层206不同的光学性质(例如:复折射率、折射率、吸光系数、电容率、反射系数、或前述的组合)的虚设填充材料214。当电磁波传递通过一个材料,此材料会衰减此电磁波。使用材料的复折射率n来定义材料如何衰减通过的电磁波。因此,可使用第二结构层206的复折射率作为选择虚设填充材料的依据。复折射率之间的差异越大,则图案范围与背景范围(即检验影像中第二结构层206)之间的对比度越大。

复折射率n包含了实部n与虚部κ:

n=n+iκ

实部n称为折射率,并表示相速度(phasevelocity)。虚部κ称为吸光系数或质量衰减系数(massattenuationcoefficient),并表示当电磁波传递通过一个材料所衰减的量。因此,可使用复折射率n的实部及/或虚部作为选择虚设填充材料214的依据。

同样地,当材料的相对电容率εr与折射率n及相对磁导率μr有相关,相对电容率εr或介电常数(k)也可用来选择虚设填充材料214:

n2=εrμr

由于大部分的材料仅为轻微磁性

图3a为由四乙氧基硅烷前驱物所形成的氧化硅、底部抗反射涂层材料、以及空气的折射率图表。曲线302为空气对电磁波波长介于的折射率。曲线304为由四乙氧基硅烷前驱物所形成的氧化硅对电磁波波长介于的折射率。曲线306为底部抗反射涂层材料对电磁波波长介于的折射率。光谱308表示出适合的检验工具的检验光谱。图3a示出特别在光谱308中,底部抗反射涂层材料与空气之间的折射率差异大于氧化硅与空气之间的折射率差异。因为底部抗反射涂层材料与氧化硅在折射率之间的差异,故相较于让图案部件212维持具有空气的中空空间,使用底部抗反射涂层材料作为虚设填充材料214增加了检验对比度。

图3b为由四乙氧基硅烷前驱物所形成的氧化硅、底部抗反射涂层材料、以及空气的衰减系数κ图表。曲线312为空气对电磁波波长介于的衰减系数。曲线314为由四乙氧基硅烷前驱物所形成的氧化硅对电磁波波长介于的衰减系数。曲线316为底部抗反射涂层材料对电磁波波长介于的衰减系数。光谱318表示出适合的检验工具的检验光谱。如图3b所示出,空气与氧化硅对电磁波波长介于的衰减系数大致上相同。特别在此检验光谱中,底部抗反射涂层材料与空气之间的衰减系数差异远大于氧化硅与空气之间的衰减系数差异。因为底部抗反射涂层材料与氧化硅在衰减系数之间的差异,故相较让图案部件212维持具有空气的中空空间,使用底部抗反射涂层材料作为虚设填充材料214大幅增加了检验对比度。

当选择虚设填充材料时,依据结构层的材料、检验工具的操作机制、图案部件的临界尺寸、以及其他相关的因素,可分别或组合考虑折射率与衰减系数。

在一些实施例中,为了捕捉在检验影像中最大的对比度,可依据图案部件212中的虚设填充材料214与第二结构层206之间的折射率差异及/或衰减系数差异来选择检验光谱或检验波长。举例来说,可使用检验波长约为例如波长在检验形成于氧化硅结构层中并以底部抗反射涂层材料填充的图案部件。同样地,可使用检验光谱约为例如光谱的波长在检验形成于氧化硅结构层中并以底部抗反射涂层材料填充的图案部件。

图3c为底部抗反射涂层材料与氧化硅之间的电容率差异,以及空气与氧化硅之间的电容率差异的图表。曲线322为空气与氧化硅对电磁波波长介于的电容率差异。空气的相对电容率在整个光谱中几乎为定值。曲线324为第一底部抗反射涂层材料与氧化硅对电磁波波长介于的电容率差异。曲线326为第二底部抗反射涂层材料与氧化硅对电磁波波长介于的电容率差异。底部抗反射涂层材料与氧化硅之间的电容率差异在整个光谱中起伏(fluctuate)。当图案部件形成于具有与空气相近的电容率的材料(例如:氧化硅)中,可使用底部抗反射涂层材料作为图案部件的虚设填充材料以增加检验影像中的对比度。当选择适合的检验波长时,例如波长接近于第一底部抗反射涂层材料增加约20%的信号强度,并且第二底部抗反射涂层材料增加约75%的信号强度或检测到的电磁波强度。

图3d为非晶硅与氧化硅之间相对电容率的差异,相较于两个底部抗反射涂层材料、空气、与氧化硅之间相对电容率的差异的比较图表。曲线328为非晶硅与氧化硅对电磁波波长介于的电容率差异。如图3d所示出,在光谱从中,非晶硅与氧化硅的电容率差异约大于氧气与氧化硅的电容率差异的20倍。当图案部件形成于具有相似于空气的电容率的材料中,使用非晶硅作为图案部件中的虚设填充材料,可增加约20倍的信号强度或检测到的电磁波强度。

如图2e所示意性地示出,第二结构层206与图案部件212中的虚设填充材料214之间不同的光学性质可增加检验影像中的对比度。增加的对比度可改善检验的缺陷捕捉率,特别是当图案部件216的临界尺寸很小的时候。举例来说,当目标临界尺寸约为30纳米,若测量到的图案部件约大于43纳米,则图案部件会被认为是缺陷的。

在步骤130中,分析检验影像以检测缺陷。第2f至2h图示意性地示出图案部件中典型的缺陷。图2f示出图案部件216a的临界尺寸(即为直径)大于目标图案部件218的临界尺寸。可使用具有影像捕捉装置(例如:ccd相机与影像分析模块)的检验工具来捕捉图案部件216的影像并检测任何的缺陷。检验工具可为测量工具(metrologytool),例如:宽带等离子体缺陷检验工具(broadbandplasmadefectinspectiontool)、激光扫描检验工具(laserscanninginspectiontool)、发光二极管扫描工具(lightemittingdiode(led)scanningtool)、或其他适合的影像检验工具。

检验工具可包含一种检验应用以判断图案部件216a的临界尺寸与目标图案部件218的临界尺寸之间的差异。在图案部件216a的范例中,临界尺寸为图案部件216a的直径。此检验应用可分析所捕捉到包含图案部件216a的影像,以将图案部件216a从所捕捉的影像中获取出来,并且使用影像处理技术判断图案部件216a的临界尺寸。当检验影像中图案部件216a的临界尺寸大于目标图案部件218的临界尺寸一临界值(thresholdlevel),则可判断图案部件216a为临界尺寸过大型(oversizecriticaldimensiontype)的缺陷。此临界值可在约20%至约40%的范围。在一实施例中,此临界值可约为30%。换句话说,当图案部件被检验为具有至少大于目标临界尺寸30%的临界尺寸时,此图案部件会被认为是缺陷的。举例来说,当目标临界尺寸约为30纳米,若测量的图案部件为大于约40纳米就会被认为是缺陷的。

图2g示出图案部件216b的临界尺寸(即为直径)小于目标图案部件218的临界尺寸。可使用检验应用以判断图案部件216b的临界尺寸与目标图案部件218的临界尺寸之间的差异。当检验影像中图案部件216b的临界尺寸小于目标图案部件218的临界尺寸一临界值,则可判断图案部件216a为临界尺寸过小型(undersizecriticaldimensiontype)的缺陷。此临界值可在约20%至约40%的范围。在一实施例中,此临界值可约为30%。换句话说,当图案部件被检验为具有至少小于目标临界尺寸30%的临界尺寸时,此图案部件会被认为是缺陷的。

图2h示出两个图案部件216与220,其为互相连接或分离两个图案范围的距离小于临界值,从而形成侵入型(intrusivetype)的缺陷。可使用检验应用来判断两相邻的图案部件是否具有侵入型的缺陷。举例来说,当分离两个图案部件的距离约小于图案部件的临界尺寸时,两个图案范围之间会被检测为侵入型缺陷。当检测到相邻的图案部件之间有非预期的连接时,图案部件会被认为具有侵入型的缺陷。

在步骤135中,当检测到缺陷,例如:尺寸过大型缺陷、尺寸过小型缺陷、以及侵入型缺陷,可实施补救工艺。可以步骤105所使用的工艺来实施补救工艺,举例来说:重新校准(recalibrating)光刻工具、重新对准(realignment)光刻遮罩、以及重调(readjusting)曝光与显影配方。

在一些实施例中,可从基板生产线(productionsubstratestream)周期性现场抽查(spotcheck)样品基板来实施步骤110、115、120、125、以及130。在一些实施例中,在步骤125与130的后可淘汰所检验地基板。在其他实施例中,当在步骤130中没有检测到缺陷,则可实施步骤140与步骤145以让检验过的样品基板回到基板生产线。

在步骤140中,可从图案部件212移除虚设填充材料214。可通过灰化工艺、湿蚀刻工艺、或选择性干蚀刻工艺移除虚设填充材料214。在一实施例中,当虚设填充材料214为底部抗反射图层材料时,可以使用氧等离子体的灰化工艺来从图案部件212移除虚设填充材料。在其他实施例中,当虚设填充材料214为以硅为主的材料、金属氧化物、氮化硅、金属氮化物、或金属时,可实施湿蚀刻工艺来选择性移除虚设填充材料214。在其他实施例中,当虚设填充材料214为以硅为主的材料、金属氧化物、氮化硅、金属氮化物、或金属时,可实施选择性干蚀刻工艺来移除虚设填充材料214。

图2i示出移除虚设填充材料214之后的半导体结构200。在图2i中,对于生产的基板,图案部件212的深度尚未形成为预计的深度。在一实施例中,可使用第二结构层206作为硬遮罩来实施蚀刻工艺以蚀刻图案部件212而穿过第一结构层204。图2j示出完整的图案部件222。

在步骤145中,退回半导体结构200至生产线,并且以生产材料填充图案部件222。生产材料表示在生产的基板中图案部件222所预期的材料,使得半导体结构200可如所设计的运行。举例来说,当图案部件222为层间介电质中的通孔(via)时,则生产材料可为金属,例如:铜。在其他实施例中,图案部件222可为层间连接的沟槽(trench)或通孔,并且可通过生产步骤以铜224填充。在其他实施例中,图案部件222可为晶体管的栅极接触件,并且可以导电材料(例如铜)填充。

本公开的实施例提供了工艺缺陷检验的改善方法。在图案部件形成于结构层中之后,填充具有与结构层不同的光学性质的虚设填充材于图案部件中。图案部件中的材料与结构层之间不同的光学性质增加了通过检验工具所捕捉的影像中的对比度,因而增加了缺陷捕捉率。根据本公开的检验方法改善了缺陷捕捉率,特别是当结构层包含具有相似于空气的光学性质的材料,以及当图案部件的临界尺寸较小,例如小于30纳米的时候。

一些实施例提供了一种在光刻工艺后的缺陷检验方法。此方法包含:蚀刻图案化光刻胶层之下的结构层以将复数图案部件从此图案化光刻胶层转换至此结构层,其中此结构层包含第一材料;以虚设填充材料填充此结构层中的图案部件,其中第一材料与虚设填充材料具有不同的光学性质,并且可从这些图案部件移除此虚设填充材料;曝露包含第一材料的结构层以及包含虚设填充材料的图案部件的上表面;以及使用检验工具检验此上表面是否有缺陷的图案部件。在一些实施例中,此虚设填充材料包含底部抗反射涂层材料。在一些实施例中,填充图案部件包含旋转涂布虚设填充材料于结构层之上。在一些实施例中,移除过多的虚设填充材料包含使用氧等离子体蚀刻此虚设填充材料。在一些实施例中,第一材料包含氧化硅,以及底部抗反射涂层材料为有机底部抗反射涂层材料。在一些实施例中,第一材料包含氧化硅,以及虚设填充材料包含底部抗反射涂层材料、以硅为主的材料、金属氧化物、金属氮化物、金属、以及前述的组合中的一种。在一些实施例中,填充图案部件包含使用涂布工艺、原子层沉积工艺、化学气相沉积工艺、或物理气相沉积工艺来沉积此虚设填充材料。在一些实施例中,移除过多的填充材料包含使用化学机械研磨工艺或灰化工艺来移除此虚设填充材料。在一些实施例中,图案部件的临界尺寸在约30纳米至约16纳米的范围。在一些实施例中,此方法还包含通过比较第一材料与虚设填充材料之间:复折射率、折射率、衰减系数、相对电容率、反射系数中的一个或多个以选择检验波长或检验光谱。在一些实施例中,图案部件的底部在结构层之中。

一些实施例提供了一种半导体装置的制造方法。此方法包含:形成第一结构层于基板之上;形成第二结构层于此第一结构层之上,其中此第二结构层包含第一材料;涂敷光刻胶层于此第二结构层之上;使用光刻工艺图案化此光刻胶层;使用此图案化光刻胶层作为遮罩以蚀刻穿过此第二结构层与此第一结构层的一部分以形成复数图案部件;以虚设填充材料填充此些图案部件,其中虚设填充材料与第一材料具有不同的光学性质;移除过多的虚设填充材料以曝露出第一材料与虚设填充材料的上表面;以及检验此上表面是否有缺陷的图案部件。在一些实施例中,虚设填充材料包含底部抗反射涂层材料、以硅为主的材料、金属氧化物、金属氮化物、金属、氧化硅、以及前述的组合中的一种。在一些实施例中,填充图案部件包含使用涂布工艺、原子层沉积工艺、化学气相沉积工艺、或物理气相沉积工艺来沉积此虚设填充材料。在一些实施例中,第一材料包含氧化硅,虚设填充材料包含底部抗反射涂层材料,以及填充图案部件包含旋转涂布此虚设填充材料于第二结构层之上。在一些实施例中,第一材料包含氧化硅,虚设填充材料包含非晶硅,以及填充图案部件包含通过化学气相沉积工艺形成非晶硅。在一些实施例中此方法还包含:在检验此上表面之后,从图案部件移除虚设填充材料;以及使用第二结构层作为硬遮罩以蚀刻穿过第一结构层。

一些实施例提供了一种半导体工艺方法。此方法包含:形成复数图案部件于氧化硅层中;以虚设填充材料填充图案部件,此虚设填充材料具有不同于氧化硅的光学性质;检验填充后的图案部件是否有缺陷;从图案部件移除虚设填充材料;以及以生产材料填充图案部件。在一些实施例中,虚设填充材料包含底部抗反射涂层材料、以硅为主的材料、金属氧化物、金属氮化物、金属、氧化硅、以及前述的组合中的一种。在一些实施例中,填充图案部件包含使用涂布工艺、原子层沉积工艺、化学气相沉积工艺、或物理气相沉积工艺来沉积此虚设填充材料。

前述概述了一些实施例的部件,使得本公开所属技术领域中技术人员可以更加理解本公开实施例的观点。本公开所属技术领域中技术人员应可理解,他们可以轻易使用本公开实施例作为基础,设计或修改其他的工艺或是结构,以达到与在此介绍的实施例相同的目的及/或优点。本公开所属技术领域中技术人员也应理解,此类等效的结构并不悖离本公开实施例的构思与范围,并且在不悖离本公开实施例的构思与范围的情况下,在此可以做各种的改变、取代和替换。因此,本公开的保护范围当视权利要求所界定为准。

当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1