用于高级集成电路结构制造的鳍状物图案化的制作方法

文档序号:33542367发布日期:2023-03-22 09:24阅读:51来源:国知局
用于高级集成电路结构制造的鳍状物图案化的制作方法
用于高级集成电路结构制造的鳍状物图案化
1.本技术为分案申请,其原申请是于2020年3月27日(国际申请日为2017年12月29日)向中国专利局提交的专利申请,申请号为201780095404.5,发明名称为“用于高级集成电路结构制造的鳍状物图案化”。
2.相关申请的交叉引用
3.本技术要求于2017年11月30日提交的题为“advanced integrated circuit structure fabrication”的美国临时申请no.62/593,149的权益,由此通过引用方式将该美国临时申请的全部内容并入本文。
技术领域
4.本公开的实施例处于高级集成电路结构制造的领域,并且具体而言,10纳米节点和更小的集成电路结构制造和所得结构的领域。


背景技术:

5.过去几十年来,集成电路中特征的缩放已经成为不断成长的半导体行业背后的驱动力。缩放到越来越小的特征能够使半导体芯片的有限占地面积上的功能单元的密度增大。例如,缩小晶体管尺寸允许在芯片上并入增大数量的存储器或逻辑器件,从而带来具有更大容量的产品的制造。然而,对越来越大容量的驱动并非没有问题。优化每个器件性能的必要性变得越来越重要。
6.常规和当前已知制造工艺中的变化性可能会限制将它们进一步扩展到10纳米节点或亚10纳米节点范围的可能性。因此,将来技术节点所需的功能部件的制造可能需要在当前制造工艺中引入新方法或整合新技术,或者用其取代当前制造工艺。
附图说明
7.图1a示出了在形成在层间电介质(ild)层上的硬掩模材料层的沉积之后,但在其图案化之前的起始结构的截面图。
8.图1b示出了在通过间距减半对硬掩模层进行图案化之后的图1a的结构的截面图。
9.图2a是根据本公开的实施例的用于制造半导体鳍状物的间距四分方式的示意图。
10.图2b示出了根据本公开的实施例的使用间距四分方式制造的半导体鳍状物的截面图。
11.图3a是根据本公开的实施例的用于制造半导体鳍状物的融合鳍状物间距四分方式的示意图。
12.图3b示出了根据本公开的实施例的使用融合鳍状物间距四分方式制造的半导体鳍状物的截面图。
13.图4a-图4c示出了根据本公开的实施例的表示在制造多个半导体鳍状物的方法中的各种操作的截面图。
14.图5a示出了根据本公开的实施例的通过三层沟槽隔离结构分隔的半导体鳍状物
对的截面图。
15.图5b示出了根据本公开的另一实施例的通过另一个三层沟槽隔离结构分隔的另一半导体鳍状物对的截面图。
16.图6a-图6d示出了根据本公开的实施例的在制造三层沟槽隔离结构时的各种操作的截面图。
17.图7a-图7e示出了根据本公开的实施例的制造集成电路结构的方法中的各种操作的倾斜三维截面图。
18.图8a-图8f示出了根据本公开的实施例的针对制造集成电路结构的方法中的各种操作的沿图7e的a-a’轴截取的略微投影截面图。
19.图9a示出了根据本公开的实施例的针对包括永久栅极堆叠体和外延源极或漏极区的集成电路结构的沿图7e的a-a’轴截取的略微投影截面图。
20.图9b示出了根据本公开的实施例的针对包括外延源极或漏极区和多层沟槽隔离结构的集成电路结构的沿图7e的b-b’轴截取的截面图。
21.图10示出了根据本公开的实施例的在源极或漏极位置截取的集成电路结构的截面图。
22.图11示出了根据本公开的实施例的在源极或漏极位置截取的另一集成电路结构的截面图。
23.图12a-图12d示出了根据本公开的实施例的在源极或漏极位置截取并且表示制造集成电路结构的方法中的各种操作的截面图。
24.图13a和图13b示出了根据本公开的实施例的表示对用于形成局部隔离结构的具有多栅极间隔的鳍状物的图案化的方法中的各种操作的平面图。
25.图14a-图14d示出了根据本公开的另一实施例的表示对用于形成局部隔离结构的具有单栅极间隔的鳍状物的图案化的方法中的各种操作的平面图。
26.图15示出了根据本公开的实施例的具有带有用于局部隔离的多栅极间隔的鳍状物的集成电路结构的截面图。
27.图16a示出了根据本公开的另一实施例的带有用于局部隔离的单栅极间隔的鳍状物的集成电路结构的截面图。
28.图16b示出了根据本公开的实施例的显示可以形成鳍状物隔离结构以取代栅极电极的位置的截面图。
29.图17a-图17c示出了根据本公开的实施例的针对使用鳍状物修剪隔离方式制造的鳍状物切口的各种深度可能性。
30.图18示出了根据本公开的实施例的显示鳍状物内的鳍状物切口的局部位置的深度与较宽位置的深度相对比的可能选项的平面图和沿a-a’轴截取的对应截面图。
31.图19a和图19b示出了根据本公开的实施例的选择具有宽切口的鳍状物的端部处的鳍状物端部应力源位置的方法中的各种操作的截面图。
32.图20a和图20b示出了根据本公开的实施例的选择具有局部切口的鳍状物的端部处的鳍状物端部应力源位置的方法中的各种操作的截面图。
33.图21a-图21m示出了根据本公开的实施例的制造具有差异化鳍状物端部电介质插塞的集成电路结构的方法中的各种操作的截面图。
34.图22a-图22d示出了根据本公开的实施例的pmos鳍状物端部应力源电介质插塞的示例性结构的截面图。
35.图23a示出了根据本公开的另一实施例的具有鳍状物端部应力诱发特征的另一半导体结构的截面图。
36.图23b示出了根据本公开的另一实施例的具有鳍状物端部应力诱发特征的另一半导体结构的截面图。
37.图24a示出了根据本公开的实施例的具有单轴拉伸应力的鳍状物的倾斜视图。
38.图24b示出了根据本公开的实施例的具有单轴压缩应力的鳍状物的倾斜视图。
39.图25a和图25b示出了根据本公开的实施例的表示对用于在选择栅极线切口位置形成局部隔离结构的具有单栅极间隔的鳍状物的图案化的方法中的各种操作的平面图。
40.图26a-图26c示出了根据本公开的实施例的针对图25b的结构的各个区域的用于多切口和鳍状物修剪隔离(fti)局部鳍状物切口位置和仅多切口位置的电介质插塞的各种可能性的截面图。
41.图27a示出了根据本公开的实施例的具有带有延伸到栅极线的电介质间隔体中的电介质插塞的栅极线切口的集成电路结构的平面图和对应截面图。
42.图27b示出了根据本公开的另一实施例的具有带有延伸到栅极线的电介质间隔体之外的电介质插塞的栅极线切口的集成电路结构的平面图和对应截面图。
43.图28a-图28f示出了根据本公开的另一实施例的制造具有带有电介质插塞的栅极线切口的集成电路结构的方法中的各种操作的截面图,所述电介质插塞具有延伸到栅极线的电介质间隔体之外的上部部分和延伸到栅极线电介质间隔体中的下部部分。
44.图29a-图29c示出了根据本公开的实施例的在永久栅极堆叠体的底部的部分处具有残余虚设栅极材料的集成电路结构的平面图和对应截面图。
45.图30a-图30d示出了根据本公开的另一实施例的制造在永久栅极堆叠体的底部的部分处具有残余虚设栅极材料的集成电路结构的方法中的各种操作的截面图。
46.图31a示出了根据本公开的实施例的具有铁电或反铁电栅极电介质结构的半导体器件的截面图。
47.图31b示出了根据本公开的另一实施例的具有铁电或反铁电栅极电介质结构的另一半导体器件的截面图。
48.图32a示出了根据本公开的实施例的处于半导体鳍状物对之上的多个栅极线的平面图。
49.图32b示出了根据本公开的实施例的沿图32a的a-a’轴截取的截面图。
50.图33a示出了根据本公开的实施例的nmos器件对和pmos器件对的截面图,nmos器件对具有基于经调制的掺杂的差异化电压阈值,pmos器件对具有基于经调制的掺杂的差异化电压阈值。
51.图33b示出了根据本公开的另一实施例的nmos器件对和pmos器件对的截面图,nmos器件对具有基于差异化栅极电极结构的差异化电压阈值,pmos器件对具有基于差异化栅极电极结构的差异化电压阈值。
52.图34a示出了根据本公开的实施例的三个nmos器件和三个pmos器件的截面图,三个nmos器件具有基于差异化栅极电极结构和经调制的掺杂的差异化电压阈值,三个pmos器
件具有基于差异化栅极电极结构和经调制的掺杂的差异化电压阈值。
53.图34b示出了根据本公开的另一实施例的三个nmos器件和三个pmos器件的截面图,三个nmos器件具有基于差异化栅极电极结构和经调制的掺杂的差异化电压阈值,三个pmos器件具有基于差异化栅极电极结构和经调制的掺杂的差异化电压阈值。
54.图35a-图35d示出了根据本公开的实施例的制造具有基于差异化栅极电极结构的差异化电压阈值的nmos器件的方法中的各种操作的截面图。
55.图36a-图36d示出了根据本公开的实施例的制造具有基于差异化栅极电极结构的差异化电压阈值的pmos器件的方法中的各种操作的截面图。
56.图37示出了根据本公开的实施例的具有p/n结的集成电路结构的截面图。
57.图38a-图38h示出了根据本公开的实施例的使用双金属栅极替换栅极工艺流制造集成电路结构的方法中的各种操作的截面图。
58.图39a-图39h示出了根据本公开的实施例的表示制造基于双硅化物的集成电路的方法中的各种操作的截面图。
59.图40a示出了根据本公开的实施例的具有用于nmos器件的沟槽接触部的集成电路结构的截面图。
60.图40b示出了根据本公开的另一实施例的具有用于pmos器件的沟槽接触部的集成电路结构的截面图。
61.图41a示出了根据本公开的实施例的在源极或漏极区上具有导电接触部的半导体器件的截面图。
62.图41b示出了根据本公开的实施例的在升高的源极或漏极区上具有导电接触部的另一半导体器件的截面图。
63.图42示出了根据本公开的实施例的处于半导体鳍状物对之上的多个栅极线的平面图。
64.图43a-图43c示出了根据本公开的实施例的针对制造集成电路结构的方法中的各种操作的沿图42的a-a’轴截取的截面图。
65.图44示出了根据本公开的实施例的针对集成电路结构的沿图42的b-b’轴截取的截面图。
66.图45a和图45b分别示出了根据本公开的实施例的包括其上具有硬掩模材料的沟槽接触插塞的集成电路结构的平面图和对应截面图。
67.图46a-图46d示出了根据本公开的实施例的表示制造包括其上具有硬掩模材料的沟槽接触插塞的集成电路结构的方法中的各种操作的截面图。
68.图47a示出了具有设置于栅极电极的非有源部分之上的栅极接触部的半导体器件的平面图。图47b示出了具有设置于栅极电极的非有源部分之上的栅极接触部的非平面半导体器件的截面图。
69.图48a示出了根据本公开的实施例的具有设置于栅极电极的有源部分之上的栅极接触通孔的半导体器件的平面图。图48b示出了根据本公开的实施例的具有设置于栅极电极的有源部分之上的栅极接触通孔的非平面半导体器件的截面图。
70.图49a-图49d示出了根据本公开的实施例的表示制造具有设置于栅极的有源部分之上的栅极接触结构的半导体结构的方法中的各种操作的截面图。
71.图50示出了根据本公开的实施例的具有包括上覆绝缘帽层的沟槽接触部的集成电路结构的平面图和对应截面图。
72.图51a-图51f示出了根据本公开的实施例的各种集成电路结构的截面图,每种集成电路结构具有包括上覆绝缘帽层的沟槽接触部并具有包括上覆绝缘帽层的栅极堆叠体。
73.图52a示出了根据本公开的另一实施例的具有设置于栅极的有源部分之上的栅极接触通孔的另一半导体器件的平面图。
74.图52b示出了根据本公开的另一实施例的具有将沟槽接触部对耦合的沟槽接触通孔的另一半导体器件的平面图。
75.图53a-图53e示出了表示根据本公开的实施例的制造带有具有上覆绝缘帽层的栅极堆叠体的集成电路结构的方法中的各种操作的截面图。
76.图54是根据本公开的实施例的用于制造互连结构的沟槽的间距四分方式的示意图。
77.图55a示出了根据本公开的实施例的使用间距四分方案制造的金属化层的截面图。
78.图55b示出了根据本公开的实施例的在使用间距四分方案制造的金属化层上方的使用间距减半方案制造的金属化层的截面图。
79.图56a示出了根据本公开的实施例的其中具有一种金属线组分的金属化层处于具有不同金属线组分的金属化层上方的集成电路结构的截面图。
80.图56b示出了根据本公开的实施例的其中具有一种金属线组分的金属化层耦合到具有不同金属线组分的金属化层的集成电路结构的截面图。
81.图57a-图57c示出了根据本公开的实施例的具有各种衬层和导电帽结构布置的个体互连线的截面图。
82.图58示出了根据本公开的实施例的其中具有一种金属线组分和间距的四个金属化层处于具有不同金属线组分和更小间距的两个金属化层上方的集成电路结构的截面图。
83.图59a-图59d示出了根据本公开的实施例的具有底部导电层的各种互连和通孔布置的截面图。
84.图60a-图60d示出了根据本公开的实施例的用于beol金属化层的凹陷线形貌的结构布置的截面图。
85.图61a-图61d示出了根据本公开的实施例的用于beol金属化层的阶梯线形貌的结构布置的截面图。
86.图62a示出了根据本公开的实施例的沿金属化层的平面图的a-a’轴截取的平面图和对应截面图。
87.图62b示出了根据本公开的实施例的线端部或插塞的截面图。
88.图62c示出了根据本公开的实施例的线端部或插塞的另一截面图。
89.图63a-图63f示出了根据本公开的实施例的表示插塞最后处理方案中的各种操作的平面图和对应截面图。
90.图64a示出了根据本公开的实施例的其中具有接缝的导电线插塞的截面图。
91.图64b示出了根据本公开的实施例的在下方金属线位置处包括导电线插塞的金属化层的堆叠体的截面图。
92.图65示出了针对存储器单元的单元布局的第一视图。
93.图66示出了根据本公开的实施例的用于具有内部节点跳线的存储器单元的单元布局的第一视图。
94.图67示出了针对存储器单元的单元布局的第二视图。
95.图68示出了根据本公开的实施例的用于具有内部节点跳线的存储器单元的单元布局的第二视图。
96.图69示出了针对存储器单元的单元布局的第三视图。
97.图70示出了根据本公开的实施例的用于具有内部节点跳线的存储器单元的单元布局的第三视图。
98.图71a和图71b分别示出了根据本公开的实施例的针对六晶体管(6t)静态随机存取存储器(sram)的位单元布局和示意图。
99.图72示出了根据本公开的实施例的用于同一标准单元的两种不同布局的截面图。
100.图73示出了根据本公开的实施例的指示偶(e)或奇(o)指定的四种不同单元布置的平面图。
101.图74示出了根据本公开的实施例的块级多网格的平面图。
102.图75示出了根据本公开的实施例的基于具有不同版本的标准单元的示例性可接受(通过)布局。
103.图76示出了根据本公开的实施例的基于具有不同版本的标准单元的示例性不可接受(失败)布局。
104.图77示出了根据本公开的实施例的基于具有不同版本的标准单元的另一示例性可接受(通过)布局。
105.图78示出了根据本公开的实施例的基于鳍状物的薄膜电阻器结构的部分切割平面图和对应截面图,其中截面图是沿部分切割平面图的a-a’轴截取的。
106.图79-图83示出了根据本公开的实施例的表示制造基于鳍状物的薄膜电阻器结构的方法中的各种操作的平面图和对应截面图。
107.图84示出了根据本公开的实施例的具有用于阳极或阴极电极接触部的多种示例性位置的基于鳍状物的薄膜电阻器结构的平面图。
108.图85a-图85d示出了根据本公开的实施例的用于制造基于鳍状物的精密电阻器的各种鳍状物几何形状的平面图。
109.图86示出了根据本公开的实施例的光刻掩模结构的截面图。
110.图87示出了根据本公开的一种实施方式的计算装置。
111.图88示出了包括本公开的一个或多个实施例的内插器。
112.图89是根据本公开的实施例的移动计算平台的等距视图,该移动计算平台采用了根据本文所述的一种或多种工艺制造或包括本文所述的一个或多个特征的ic。
113.图90示出了根据本公开的实施例的倒装芯片式安装的管芯的截面图。
具体实施方式
114.描述了高级集成电路结构制造。在下面的描述中,阐述了许多具体细节,诸如具体集成及材料体系,以便提供对本公开的实施例的深入了解。对本领域的技术人员将显而易
见的是可以在没有这些具体细节的情况下实践本公开的实施例。在其它实例中,没有详细地描述诸如集成电路设计布局的公知特征,以避免不必要地使本公开的实施例难以理解。此外,应当认识到,在图中示出的各种实施例是示例性的表示并且未必按比例绘制。
115.以下具体实施方式本质上只是例证性的,并非旨在限制主题的实施例或这种实施例的应用和用途。如本文所用,术语“示例性”是指“用作示例、实例或例示”。本文描述为示例性的任何实施方式未必理解为相比其它实施方式是优选的或有利的。此外,并非旨在受到前述技术领域、背景技术、

技术实现要素:
或以下具体实施方式中呈现的任何明示或暗示的理论的约束。
116.本说明书包括对“一个实施例”或“实施例”的引用。短语“在一个实施例中”或“在实施例中”的出现不一定是指同一实施例。特定特征、结构或特性可以以与本公开一致的任何适当方式组合。
117.术语。以下段落提供在本公开(包括所附权利要求书)中发现的术语的定义或语境:
[0118]“包括”。该术语是开放式的。如在所附权利要求书中所使用的,该术语并不排除另外的结构或操作。
[0119]“被配置为”。各种单元或部件可以被描述或主张为“被配置为”执行一项或多项任务。在这种语境下,“被配置为”用于通过指示该单元或部件包括在操作期间执行一项或多项那些任务的结构而隐含结构。这样,即使当指定的单元或部件目前不在操作(例如,未开启或活动)时,也可以将该单元或部件说成是被配置为执行任务。详述单元或电路或部件“被配置为”执行一项或多项任务明确地旨在不为该单元或部件援引35u.s.c.
§
112第六段。
[0120]“第一”、“第二”等。如本文所用的,这些术语用作其之后的名词的标记,而并不暗示任何类型的顺序(例如,空间、时间、逻辑等)。
[0121]“耦合”——以下描述是指“耦合”在一起的元件或节点或特征。如本文所用,除非另外明确指明,否则“耦合”意指一个元件或节点或特征直接或间接连接至另一个元件或节点或特征(或直接或间接与其通信),并且不一定是机械方式。
[0122]
此外,某些术语在以下描述中也仅用于参考的目的,因此这些术语并非旨在进行限制。例如,诸如“上部”、“下部”、“上方”和“下方”等术语是指附图中提供参考的方向。诸如“正面”、“背面”、“后面”、“侧面”、“外侧”和“内侧”等术语描述在一致但任意的参照系内部件的部分的取向或位置或两者,其可以通过参考描述所讨论部件的文字和相关联附图而清楚地了解。这种术语可以包括上面具体提及的词语、它们的衍生词语以及类似意义的词语。
[0123]“抑制”——如本技术所用,抑制用于描述减小影响或使影响最小化。当部件或特征被描述为抑制行为、运动或条件时,它可以完全防止结果或后果或未来的状态。另外,“抑制”还可以指减小或降低在其它情况下可能会发生的后果、表现或效应。因此,当部件、元件或特征被称为抑制结果或状态时,它不一定完全防止或消除所述结果或状态。
[0124]
本文描述的实施例可以涉及前段工艺(feol)半导体处理和结构。feol是集成电路(ic)制造的第一部分,其中在半导体衬底或层中图案化出个体器件(例如,晶体管、电容器、电阻器等)。feol通常覆盖了直到(但不包括)金属互连层的沉积的每项内容。在最后的feol操作之后,结果通常是具有隔离的晶体管(例如,没有任何线路)的晶片。
[0125]
本文描述的实施例可以涉及后段工艺(beol)半导体处理和结构。beol是ic制造的
第二部分,其中利用晶片上的例如一个或多个金属化层的线路将个体器件(例如,晶体管、电容器、电阻器等)互连。beol包括接触部、绝缘层(电介质)、金属层级、以及用于芯片到封装连接的接合部位。在制造阶段的beol部分中,形成接触部(焊盘)、互连线、通孔和电介质结构。对于现代ic工艺而言,可以在beol中添加超过10个金属层。
[0126]
下文描述的实施例可以适用于feol处理和结构、beol处理和结构或者feol和beol处理和结构两者。具体而言,尽管可以使用feol处理情形例示示例性处理方案,但这样的方式也可以适用于beol处理。同样,尽管可以使用beol处理情形例示示例性处理方案,但这样的方式也可以适用于feol处理。
[0127]
间距划分处理和图案化方案可以被实施以实现本文描述的实施例,或者可以被包括作为本文所述实施例的部分。间距划分图案化典型地是指间距减半、间距四分等。间距划分方案可以适用于feol处理、beol处理或feol(器件)和beol(金属化)处理两者。根据本文描述的一个或多个实施例,首先实施光刻以采用预定义间距印刷单向线(例如,严格单向或以单向为主)。然后实施间距划分处理作为增大线密度的技术。
[0128]
在实施例中,用于鳍状物、栅极线、金属线、ild线或硬掩模线的术语“栅格结构”在本文中用于指代紧密间距栅格结构。在一个这样的实施例中,紧密间距不能直接通过选定的光刻实现。例如,可以首先形成基于选定光刻的图案,但可以利用间隔体掩模图案化对间距减半,如本领域中所公知的。更进一步,可以通过第二轮间隔体掩模图案化对初始间距进行四分。因此,本文描述的栅格状图案可以具有以大体上一致的间距间隔开并具有大体上一致的宽度的金属线、ild线或硬掩模线。例如,在一些实施例中,间距变化会在百分之十内,宽度变化会在百分之十内,并且在一些实施例中,间距变化会在百分之五内,宽度变化会在百分之五内。可以通过间距减半或间距四分、或其它间距划分方式来制造图案。在实施例中,栅格未必是单一间距。
[0129]
在第一示例中,可以实施间距减半以使所制造栅格结构的线密度加倍。图1a示出了在层间电介质(ild)层上形成的硬掩模材料层的沉积之后,但在其图案化之前的起始结构的截面图。图1b示出了在通过间距减半对硬掩模层进行图案化之后的图1a的结构的截面图。
[0130]
参考图1a,起始结构100具有形成于层间电介质(ild)层102上的硬掩模材料层104。图案化掩模106设置在硬掩模材料层104上方。图案化掩模106具有在硬掩模材料层104上沿其特征(线)的侧壁形成的间隔体108。
[0131]
参考图1b,采用间距减半方式对硬掩模材料层104进行图案化。具体而言,首先去除图案化掩模106。所得的间隔体108的图案已经使掩模106的密度加倍,或使掩模106的间距或特征减半。例如,通过蚀刻工艺将间隔体108的图案转移到硬掩模材料层104,以形成图案化硬掩模110,如图1b中所示。在一个这样的实施例中,图案化硬掩模110被形成为具有栅格图案,该栅格图案具有单向线。图案化硬掩模110的栅格图案可以是紧密间距栅格图案。例如,可能无法直接通过选定的光刻技术实现紧密间距。更进一步,尽管未示出,但可以通过第二轮间隔体掩模图案化对初始间距进行四分。因此,图1b的图案化硬掩模110的栅格状图案可以具有相对于彼此以恒定间距间隔开并具有恒定宽度的硬掩模线。实现的尺寸可以远小于所采用光刻技术的临界尺寸。
[0132]
因此,对于前段工艺(feol)或后段工艺(beol)或两者而言,可以使用光刻和蚀刻
处理对均厚膜进行图案化,这可以涉及例如基于间隔体的双图案化(sbdp)或间距减半,或基于间隔体的四次图案化(sbqp)或间距四分。应当认识到,也可以实施其它间距划分方式。在任何情况下,在实施例中,可以通过选定的光刻方式(例如193nm浸入光刻(193i))来制造网格化布局。可以实施间距划分以将网格化布局中的线的密度增大n倍。利用193i光刻加上“n”倍的间距划分的网格化布局形成可以被指定为193i+p/n间距划分。在一个这样的实施例中,193nm浸入缩放可以利用成本高效的间距划分延续很多代。
[0133]
在集成电路器件的制造中,随着期间尺寸继续缩小,诸如三栅极晶体管的多栅极晶体管已经变得更加普及。三栅极晶体管通常是在体硅衬底或绝缘体上硅衬底上制造的。在一些实例中,体硅衬底是优选的,因为其成本更低且与现有的高成品率体硅衬底基础设施兼容。
[0134]
然而,缩放多栅极晶体管并非没有后果。随着微电子电路的这些基础构建块的尺寸减小并且随着给定区域中制造的基础构建块的绝对数量增大,对用于制造这些构建块的半导体工艺的约束已经变为压倒性的。
[0135]
根据本公开的一个或多个实施例,实施间距四分方式以用于对半导体层进行图案化,以形成半导体鳍状物。在一个或多个实施例中,实施融合鳍状物间距四分方式。
[0136]
图2a是根据本公开的实施例的用于制造半导体鳍状物的间距四分方式200的示意图。图2b示出了根据本公开的实施例的使用间距四分方式制造的半导体鳍状物的截面图。
[0137]
参考图2a,在操作(a),对光致抗蚀剂层(pr)进行图案化以形成光致抗蚀剂特征202。可以使用诸如193浸入光刻的标准光刻处理技术图案化出光致抗蚀剂特征202。在操作(b),使用光致抗蚀剂特征202对诸如绝缘层或电介质硬掩模层的材料层进行图案化,以形成第一骨干(bb1)特征204。然后形成与第一骨干特征204的侧壁相邻的第一间隔体(sp1)特征206。在操作(c),去除第一骨干特征204以仅留下第一间隔体特征206。在去除第一骨干特征204之前或期间,可以减薄第一间隔体特征206以形成减薄的第一间隔体特征206’,如图2a中所示。取决于bb2特征(208,如下所述)所需的间隔和大小,可以(如所示)在去除bb1(特征204)之前或之后执行该减薄。在操作(d),第一间隔体特征206或减薄的第一间隔体特征206’用于对诸如绝缘层或电介质硬掩模层的材料层进行图案化,以形成第二骨干(bb2)特征208。然后形成与第二骨干特征208的侧壁相邻的第二间隔体(sp2)特征210。在操作(e),去除第二骨干特征208以仅留下第二间隔体特征210。然后可以使用剩余的第二间隔体特征210对半导体层进行图案化以提供相对于初始图案化光致抗蚀剂特征202具有间距四分的尺寸的多个半导体鳍状物。作为示例,参考图2b,使用第二间隔体特征210作为用于图案化(例如干法或等离子体蚀刻图案化)的掩模来形成多个半导体鳍状物250,例如由体硅层形成的硅鳍状物。在图2b的示例中,多个半导体鳍状物250全部具有实质上相同的间距和间隔。
[0138]
要认识到,可以修改初始图案化光致抗蚀剂特征之间的间隔以改变间距四分工艺的结构结果。在示例中,图3a是根据本公开的实施例的用于制造半导体鳍状物的融合鳍状物间距四分方式300的示意图。图3b示出了根据本公开的实施例的使用融合鳍状物间距四分方式制造的半导体鳍状物的截面图。
[0139]
参考图3a,在操作(a),对光致抗蚀剂层(pr)进行图案化以形成光致抗蚀剂特征302。可以使用诸如193浸入光刻的标准光刻处理技术、但采用最终可能会与产生均匀间距
多倍图案所需设计规则冲突的间隔(例如,被称为亚设计规则空间的间隔),图案化出光致抗蚀剂特征302。在操作(b),使用光致抗蚀剂特征302对诸如绝缘层或电介质硬掩模层的材料层进行图案化,以形成第一骨干(bb1)特征304。然后形成与第一骨干特征304的侧壁相邻的第一间隔体(sp1)特征306。然而,与图2a中所示的方案形成对比,由于更紧密的光致抗蚀剂特征302,相邻第一间隔体特征306中的一些是融合间隔体特征。在操作(c),去除第一骨干特征304以仅留下第一间隔体特征306。在去除第一骨干特征304之前或之后,可以减薄第一间隔体特征306中的一些以形成减薄的第一间隔体特征306’,如图3a中所示。在操作(d),使用第一间隔体特征306和减薄的第一间隔体特征306’对诸如绝缘层或电介质硬掩模层的材料层进行图案化,以形成第二骨干(bb2)特征308。然后形成与第二骨干特征308的侧壁相邻的第二间隔体(sp2)特征310。然而,在bb2特征308为融合特征的位置,例如在图3a的中心bb2特征308处,不形成第二间隔体。在操作(e),去除第二骨干特征308以仅留下第二间隔体特征310。然后可以使用剩余的第二间隔体特征310对半导体层进行图案化以提供相对于初始图案化光致抗蚀剂特征302具有间距四分的尺寸的多个半导体鳍状物。
[0140]
作为示例,参考图3b,使用第二间隔体特征310作为用于图案化(例如干法或等离子体蚀刻图案化)的掩模来形成多个半导体鳍状物350,例如由体硅层形成的硅鳍状物。然而,在图3b的示例中,多个半导体鳍状物350具有变化的间距和间隔。可以实施这样的融合鳍状物间隔体图案化方式以实质上消除多个鳍状物的图案的某些位置中的鳍状物的存在。因此,融合某些位置中的第一间隔体特征306允许基于两个第一骨干特征304制造六个或四个鳍状物,基于两个第一骨干特征304典型会产生八个鳍状物,如结合图2a和图2b所述。在一个示例中,在板中,鳍状物具有的间距比通过以均匀间距创建鳍状物并然后切割不需要的鳍状物通常所允许的间距更紧密,尽管根据本文描述的实施例仍然可以实施后一种方式。
[0141]
在示例性实施例中,参考图3b,集成电路结构,第一多个半导体鳍状物352沿第一方向(y,进入页面)具有最长尺寸。第一多个半导体鳍状物352的相邻个体半导体鳍状物353在与第一方向正交的第二方向(x)上彼此间隔开第一量(s1)。第二多个半导体鳍状物354沿第一方向y具有最长尺寸。第二多个半导体鳍状物354的相邻个体半导体鳍状物355在第二方向上彼此间隔开第一量(s1)。第一多个半导体鳍状物352和第二多个半导体鳍状物354的最近半导体鳍状物356和357在第二方向x上分别彼此间隔开第二量(s2)。在实施例中,第二量s2大于第一量s1,但小于第一量s1的两倍。在另一实施例中,第二量s2超过第一量s1的两倍。
[0142]
在一个实施例中,第一多个半导体鳍状物352和第二多个半导体鳍状物354包括硅。在一个实施例中,第一多个半导体鳍状物352和第二多个半导体鳍状物354与下方的单晶硅衬底连续。在一个实施例中,第一多个半导体鳍状物352和第二多个半导体鳍状物354中的个体鳍状物沿第二方向x具有从第一多个半导体鳍状物352和第二多个半导体鳍状物354中的个体鳍状物的顶部到底部向外逐渐变细的侧壁。在一个实施例中,第一多个半导体鳍状物352具有恰好五个半导体鳍状物,并且第二多个半导体鳍状物354具有恰好五个半导体鳍状物。
[0143]
在另一示例性实施例中,参考图3a和图3b,一种制造集成电路结构的方法包括形成第一初级骨干结构304(左bb1)和第二初级骨干结构304(右bb1)。形成与第一初级骨干结
构304(左bb1)和第二初级骨干结构304(右bb1)的侧壁相邻的初级间隔体结构306。融合第一初级骨干结构304(左bb1)和第二初级骨干结构304(右bb1)之间的初级间隔体结构306。去除第一初级骨干结构(左bb1)和第二初级骨干结构(右bb1),并提供第一、第二、第三和第四次级骨干结构308。融合第二和第三次级骨干结构(例如,次级骨干结构308的中间对)。形成与第一、第二、第三和第四次级骨干结构308的侧壁相邻的次级间隔体结构310。然后去除第一、第二、第三和第四次级骨干结构308。然后利用次级间隔体结构310对半导体材料进行图案化以在半导体材料中形成半导体鳍状物350。
[0144]
在一个实施例中,利用第一初级骨干结构304(左bb1)和第二初级骨干结构304(右bb1)之间的亚设计规则间隔对第一初级骨干结构和第二初级骨干结构进行图案化。在一个实施例中,半导体材料包括硅。在一个实施例中,半导体鳍状物350中的个体半导体鳍状物沿第二方向x具有从半导体鳍状物350中的个体半导体鳍状物的顶部到底部向外逐渐变细的侧壁。在一个实施例中,半导体鳍状物350与下方的单晶硅衬底是连续的。在一个实施例中,利用次级间隔体结构310对半导体材料进行图案化包括形成沿第一方向y具有最长尺寸的第一多个半导体鳍状物352,其中第一多个半导体鳍状物352中的相邻个体半导体鳍状物在与第一方向y正交的第二方向x上彼此间隔开第一量s1。形成沿第一方向y具有最长尺寸的第二多个半导体鳍状物354,其中第二多个半导体鳍状物354中的相邻个体半导体鳍状物在第二方向x上彼此间隔开第一量s1。第一多个半导体鳍状物352和第二多个半导体鳍状物354的最近半导体鳍状物356和357在第二方向x上分别彼此间隔开第二量s2。在实施例中,第二量s2大于第一量s1。在一个这样的实施例中,第二量s2小于第一量s1的两倍。在另一个这样的实施例中,第二量s2大于第一量s1的两倍但小于第一量s1的三倍。在实施例中,如图3b中所示,第一多个半导体鳍状物352具有恰好五个半导体鳍状物,并且第二多个半导体鳍状物354具有恰好五个半导体鳍状物。
[0145]
在另一方面中,应当认识到鳍状物修剪工艺,其中执行鳍状物去除作为融合鳍状物方式的替代,可以在硬掩模图案化期间或通过物理去除鳍状物来修剪(去除)鳍状物。作为后一种方式的示例,图4a-图4c示出了根据本公开的实施例的表示制造多个半导体鳍状物的方法中的各种操作的截面图。
[0146]
参考图4a,图案化硬掩模层402形成在诸如体单晶硅层的半导体层404上方。参考图4b,然后通过例如干法或等离子体蚀刻工艺在半导体层404中形成鳍状物406。参考图4c,例如,使用掩蔽和蚀刻工艺去除选定的鳍状物406。在图示的示例中,鳍状物406中的一个被去除并可以留下残余鳍状物桩408。在这样的“鳍状物修剪最后”方式中,硬掩模402作为整体被图案化,以提供栅格结构而不去除或修改个体特征。直到制造鳍状物之后,都不会修改鳍状物总数。
[0147]
在另一方面中,可以在半导体鳍状物之间实施多层沟槽隔离区,其可以被称为浅沟槽隔离(sti)结构。在实施例中,在体硅衬底中形成的硅鳍状物之间形成多层sti结构,以界定硅鳍状物的子鳍状物区。
[0148]
可能希望为基于鳍状物或三栅极的晶体管使用体硅。然而,令人担心的是器件的有源硅鳍状物部分下方的区域(子鳍状物)(例如,栅极控制区,或hsi)被消除或不受到栅极控制。这样一来,如果源极或漏极区处在或低于hsi点,那么通过子鳍状物区可能存在泄漏路径。可能的情况是,应当控制子鳍状物区中的泄漏路径以使器件正常操作。
[0149]
解决以上问题的一种方式涉及使用阱注入操作,其中对子鳍状物区进行重掺杂(例如,远大于2e18/cm3),这样切断了子鳍状物泄漏,但也导致鳍状物中显著的掺杂。添加晕圈注入物进一步提高了鳍状物掺杂,以使得线鳍状物的端部以高水平被掺杂(例如,大于大约1e18/cm3)。
[0150]
另一种方式涉及通过子鳍状物掺杂提供的掺杂而不必向鳍状物的hsi部分输送相同水平的掺杂。工艺可以涉及通过例如三栅极掺杂玻璃子鳍状物外扩散的方式对在体硅晶片上制造的三栅极或finfet晶体管的子鳍状物区进行选择性掺杂。例如,对三栅极或finfet晶体管的子鳍状物区进行选择性掺杂可以缓解子鳍状物泄漏,同时保持鳍状物掺杂很低。向晶体管工艺流中(在从鳍状物侧壁凹陷之后)并入固态掺杂源(例如,p型和n型掺杂氧化物、氮化物或碳化物)向子鳍状物中输送了阱掺杂,同时保持鳍状物主体相对未掺杂。
[0151]
于是,工艺方案可以包括在鳍状物蚀刻之后使用在鳍状物上沉积的固态源掺杂层(例如,硼掺杂氧化物)。稍后,在沟槽填充和抛光之后,与沟槽填充材料一起使掺杂层凹陷,以为器件界定鳍状物高度(hsi)。该操作从hsi上方的鳍状物侧壁去除了掺杂层。因此,掺杂层仅沿子鳍状物区中的鳍状物侧壁存在,这样确保了对掺杂放置的精确控制。在驱入退火之后,高掺杂被限于子鳍状物区,迅速过渡到hsi上方的鳍状物的相邻区域中的低掺杂(这样形成晶体管的沟道区)。通常,为nmos鳍状物掺杂实施硼硅酸盐玻璃(bsg),而为pmos鳍状物掺杂实施磷硅酸盐(psg)或砷硅酸盐玻璃(assg)层。在一个示例中,这种p型固态掺杂剂源层为硼浓度大约在0.1-10重量%的范围内的bsg层。在另一个示例中,这种n型固态掺杂剂源层为磷或砷浓度分别大约在0.1-10重量%的范围内的psg层或assg层。可以在掺杂层上包括氮化硅帽层,并且然后可以在氮化硅帽层上包括二氧化硅或氧化硅填充材料。
[0152]
根据本公开的另一实施例,对于相对较薄的鳍状物(例如,宽度小于大约20纳米的鳍状物),子鳍状物泄漏充分低,其中与鳍状物直接相邻地形成未掺杂或轻掺杂氧化硅或二氧化硅膜,在未掺杂或轻掺杂氧化硅或二氧化硅膜上形成氮化硅层,并在氮化硅帽层上包括二氧化硅或氧化硅填充材料。应当认识到,也可以利用这样的结构实施子鳍状物区的掺杂,例如晕圈掺杂。
[0153]
图5a示出了根据本公开的实施例的通过三层沟槽隔离结构分隔的半导体鳍状物对的截面图。
[0154]
参考图5a,集成电路结构包括鳍状物502,例如硅鳍状物。鳍状物502具有下鳍状物部分(子鳍状物)502a和上鳍状物部分502b(h
si
)。第一绝缘层504直接在鳍状物502的下鳍状物部分502a的侧壁上。第二绝缘层506直接在第一绝缘层504上,第一绝缘层504直接在鳍状物502的下鳍状物部分502a的侧壁上。电介质填充材料508与直接在第一绝缘层504上的第二绝缘层506在横向上直接相邻,第一绝缘层504直接在鳍状物502的下鳍状物部分502a的侧壁上。
[0155]
在实施例中,第一绝缘层504是包括硅和氧的非掺杂绝缘层,例如氧化硅或二氧化硅绝缘层。在实施例中,第一绝缘层504包括硅和氧并且没有其它原子浓度大于每立方厘米1e15原子的原子种类。在实施例中,第一绝缘层504具有在0.5-2纳米的范围内的厚度。
[0156]
在实施例中,第二绝缘层506包括硅和氮,例如化学计量si3n4氮化硅绝缘层、富硅氮化硅绝缘层、或贫硅氮化硅绝缘层。在实施例中,第二绝缘层506具有在2-5纳米的范围内的厚度。
[0157]
在实施例中,电介质填充材料508包括硅和氧,例如氧化硅或二氧化硅绝缘层。在实施例中,栅极电极最终形成于鳍状物502的上鳍状物部分502b的侧壁的顶部并与其横向相邻。
[0158]
应当认识到,在处理期间,半导体鳍状物的上鳍状物部分可能被腐蚀或消耗。而且,鳍状物之间的沟槽隔离结构也可能被腐蚀,以具有非平面形貌,或者可能在制造时被形成为具有非平面形貌。作为示例,图5b示出了根据本公开的另一实施例的通过另一个三层沟槽隔离结构分隔的另一半导体鳍状物对的截面图。
[0159]
参考图5b,集成电路结构包括第一鳍状物552,例如硅鳍状物。第一鳍状物552具有下鳍状物部分552a和上鳍状物部分552b、以及在下鳍状物部分552a和上鳍状物部分552b之间的区域处的肩特征554。诸如第二硅鳍状物的第二鳍状物562具有下鳍状物部分562a和上鳍状物部分562b、以及在下鳍状物部分562a和上鳍状物部分562b之间的区域处的肩特征564。第一绝缘层574直接在第一鳍状物552的下鳍状物部分552a的侧壁上并直接在第二鳍状物562的下鳍状物部分562a的侧壁上。第一绝缘层574具有与第一鳍状物552的肩特征554大体上共面的第一端部574a,并且第一绝缘层574还具有与第二鳍状物562的肩特征564大体上共面的第二端部574b。第二绝缘层576直接在第一绝缘层574上,第一绝缘层574直接在第一鳍状物552的下鳍状物部分552a的侧壁上并直接在第二鳍状物562的下鳍状物部分562a的侧壁上。
[0160]
电介质填充材料578与直接在第一绝缘层574上的第二绝缘层576横向相邻,第一绝缘层574直接在第一鳍状物552的下鳍状物部分552a的侧壁上并直接在第二鳍状物562的下鳍状物部分562a的侧壁上。在实施例中,电介质填充材料578具有上表面578a,其中电介质填充材料578的上表面578a的一部分在第一鳍状物552的肩特征554中的至少一个下方并在第二鳍状物562的肩特征564中的至少一个下方,如图5b所示。
[0161]
在实施例中,第一绝缘层574是包括硅和氧的非掺杂绝缘层,例如氧化硅或二氧化硅绝缘层。在实施例中,第一绝缘层574包括硅和氧,并且没有原子浓度大于每立方厘米1e15原子的其它原子种类。在实施例中,第一绝缘层574具有在0.5-2纳米的范围内的厚度。
[0162]
在实施例中,第二绝缘层576包括硅和氮,例如化学计量si3n4氮化硅绝缘层、富硅氮化硅绝缘层、或贫硅氮化硅绝缘层。在实施例中,第二绝缘层576具有在2-5纳米的范围内的厚度。
[0163]
在实施例中,电介质填充材料578包括硅和氧,例如氧化硅或二氧化硅绝缘层。在实施例中,栅极电极最终形成在第一鳍状物552的上鳍状物部分552b的侧壁的顶部之上并与上鳍状物部分552b的侧壁横向相邻,并且在第二鳍状物562的上鳍状物部分562b的侧壁的顶部之上并与上鳍状物部分562b的侧壁横向相邻。栅极电极还在第一鳍状物552和第二鳍状物562之间的电介质填充材料578之上。
[0164]
图6a-图6d示出了根据本公开的实施例的在制造三层沟槽隔离结构时的各种操作的截面图。
[0165]
参考图6a,制造集成电路结构的方法包括形成鳍状物602,例如硅鳍状物。第一绝缘层604直接形成在鳍状物602上并与鳍状物602共形,如图6b所示。在实施例中,第一绝缘层604包括硅和氧,并且没有原子浓度大于每立方厘米1e15原子的其它原子种类。
[0166]
参考图6c,第二绝缘层606直接形成在第一绝缘层604上并与第一绝缘层604共形。
在实施例中,第二绝缘层606包括硅和氮。电介质填充材料608直接形成在第二绝缘层606上,如图6d所示。
[0167]
在实施例中,该方法还涉及使电介质填充材料608、第一绝缘层604和第二绝缘层606凹陷,以提供具有暴露的上鳍状物部分602a(例如,图5a和图5b的上鳍状物部分502b、552b或562b)的鳍状物602。所得的结构可以如结合图5a或图5b所述。在一个实施例中,使电介质填充材料608、第一绝缘层604和第二绝缘层606凹陷涉及使用湿法蚀刻工艺。在另一个实施例中,使电介质填充材料608、第一绝缘层604和第二绝缘层606凹陷涉及使用等离子体蚀刻或干法蚀刻工艺。
[0168]
在实施例中,使用化学气相沉积工艺形成第一绝缘层604。在实施例中,术语化学气相沉积工艺形成第二绝缘层606。在实施例中,使用旋涂工艺形成电介质填充材料608。在一个这样的实施例中,电介质填充材料608是旋涂材料,并且例如在凹陷蚀刻工艺之前或之后暴露于蒸汽处理,以提供包括硅和氧的固化材料。在实施例中,栅极电极最终形成于鳍状物602的上鳍状物部分的侧壁的顶部之上并与鳍状物602的上鳍状物部分的侧壁横向相邻。
[0169]
在另一方面中,栅极侧壁间隔体材料可以保留在特定沟槽隔离区之上,作为在后续处理操作期间防止沟槽隔离区被腐蚀的保护。例如,图7a-图7e示出了根据本公开的实施例的制造集成电路结构的方法中的各种操作的倾斜三维截面图。
[0170]
参考图7a,制造集成电路结构的方法包括形成鳍状物702,例如硅鳍状物。鳍状物702具有下鳍状物部分702a和上鳍状物部分702b。绝缘结构704形成为直接与鳍状物702的下鳍状物部分702a的侧壁相邻。栅极结构706形成在上鳍状物部分702b之上以及绝缘结构704之上。在实施例中,栅极结构是包括牺牲栅极电介质层706a、牺牲栅极706b和硬掩模706c的占位体或虚设栅极结构。电介质材料708被形成为与鳍状物702的上鳍状物部分702b共形,与栅极结构706共形,并与绝缘结构704共形。
[0171]
参考图7b,硬掩模材料710形成在电介质材料708之上。在实施例中,硬掩模材料710是使用旋涂工艺形成的基于碳的硬掩模材料。
[0172]
参考图7c,使硬掩模材料710凹陷以形成凹陷的硬掩模材料712并暴露电介质材料708的与鳍状物702的上鳍状物部分702b共形并与栅极结构706共形的部分。凹陷的硬掩模材料712覆盖电介质材料708的与绝缘结构704共形的部分。在实施例中,使用湿法蚀刻工艺使硬掩模材料710凹陷。在另一个实施例中,使用灰化、干法蚀刻或等离子体蚀刻工艺使硬掩模材料710凹陷。
[0173]
参考图7d,对电介质材料708进行各向异性蚀刻,以沿栅极结构706的侧壁(作为电介质间隔体714a)、沿鳍状物702的上鳍状物部分702b的侧壁的部分、并在绝缘结构704之上形成图案化的电介质材料714。
[0174]
参考图7e,从图7d的结构去除凹陷的硬掩模材料712。在实施例中,栅极结构706为虚设栅极结构,并且后续处理包括利用永久栅极电介质和栅极电极堆叠体替换栅极结构706。在实施例中,进一步的处理包括在栅极结构706的相对侧上形成嵌入式源极或漏极结构,如下文更详细所述。
[0175]
再次参考图7e,在实施例中,集成电路结构700包括第一鳍状物(左702),例如第一硅鳍状物,第一鳍状物具有下鳍状物部分702a和上鳍状物部分702b。集成电路结构还包括第二鳍状物(右702),例如第二硅鳍状物,第二鳍状物具有下鳍状物部分702a和上鳍状物部
分702b。绝缘结构704与第一鳍状物的下鳍状物部分702a的侧壁直接相邻,并与第二鳍状物的下鳍状物部分702a的侧壁直接相邻。栅极电极706在第一鳍状物(左702)的上鳍状物部分702b之上,在第二鳍状物(右702)的上鳍状物部分702b之上,并且在绝缘结构704的第一部分704a之上。第一电介质间隔体714a沿第一鳍状物(左702)的上鳍状物部分702b的侧壁,并且第二电介质间隔体702c沿第二鳍状物(右702)的上鳍状物部分702b的侧壁。第二电介质间隔体714c在绝缘结构704的处于第一鳍状物(左702)和第二鳍状物(右702)之间的第二部分704b之上与第一电介质间隔体714b是连续的。
[0176]
在实施例中,第一和第二电介质间隔体714b和714c包括硅和氮,例如化学计量si3n4氮化硅材料、富硅氮化硅材料或贫硅氮化硅材料。
[0177]
在实施例中,集成电路结构700还包括栅极电极706的相对侧上的嵌入式源极或漏极结构,该嵌入式源极或漏极结构具有处于沿第一和第二鳍状物702的上鳍状物部分702b的侧壁的第一和第二电介质间隔体714b和714c的顶表面下方的底表面,并且源极或漏极结构具有处于沿第一和第二鳍状物702的上鳍状物部分702b的侧壁的第一和第二电介质间隔体714b和714c的顶表面上方的顶表面,如下文结合图9b所述。在实施例中,绝缘结构704包括第一绝缘层、直接在第一绝缘层上的第二绝缘层、以及横向上直接在第二绝缘层上的电介质填充材料,同样如下文结合图9b所述。
[0178]
图8a-图8f示出了根据本公开的实施例的针对制造集成电路结构的方法中的各种操作的沿图7e的a-a’轴截取的略微投影截面图。
[0179]
参考图8a,制造集成电路结构的方法包括形成鳍状物702,例如硅鳍状物。鳍状物702具有下鳍状物部分(图8a中看不到)和上鳍状物部分702b。绝缘结构704形成为与鳍状物702的下鳍状物部分702a的侧壁直接相邻。一对栅极结构706形成在上鳍状物部分702b之上和绝缘结构704之上。应当认识到,图8a-图8f中所示的透视图被略微投影以示出上鳍状物部分702b前方(页面之外)的栅极结构706和绝缘结构的部分,其中上鳍状物部分稍微进入页面中。在实施例中,栅极结构706是包括牺牲栅极电介质层706a、牺牲栅极706b和硬掩模706c的占位体或虚设栅极结构。
[0180]
参考图8b,其对应于结合图7a描述的工艺操作,电介质材料708形成为与鳍状物702的上鳍状物部分702b共形,与栅极结构706共形,并与绝缘结构704的暴露部分共形。
[0181]
参考图8c,其对应于结合图7b描述的工艺操作,硬掩模材料710形成在电介质材料708之上。在实施例中,硬掩模材料710是使用旋涂工艺形成的基于碳的硬掩模材料。
[0182]
参考图8d,其对应于结合图7c所述的工艺操作,使硬掩模材料710凹陷以形成凹陷的硬掩模材料712并暴露电介质材料708的与鳍状物702的上鳍状物部分702b共形并与栅极结构706共形的部分。凹陷的硬掩模材料712覆盖电介质材料708的与绝缘结构704共形的部分。在实施例中,使用湿法蚀刻工艺使硬掩模材料710凹陷。在另一个实施例中,使用灰化、干法蚀刻或等离子体蚀刻工艺使硬掩模材料710凹陷。
[0183]
参考图8e,其对应于结合图7d所述的工艺操作,对电介质材料708进行各向异性蚀刻,以沿栅极结构706的侧壁(作为部分714a)、沿鳍状物702的上鳍状物部分702b的侧壁的部分、并在绝缘结构704之上形成图案化的电介质材料714。
[0184]
参考图8f,其对应于结合图7e描述的工艺操作,从图8e的结构去除凹陷的硬掩模材料712。在实施例中,栅极结构706为虚设栅极结构,并且处理包括利用永久栅极电介质和
栅极电极堆叠体替换栅极结构706。在实施例中,进一步的处理包括在栅极结构706的相对侧上形成嵌入式源极或漏极结构,如下文更详细所述。
[0185]
再次参考图8f,在实施例中,集成电路结构700包括鳍状物702,例如硅鳍状物,鳍状物702具有下鳍状物部分(图8f中未看出)和上鳍状物部分702b。绝缘结构704与鳍状物702的下鳍状物部分的侧壁直接相邻。第一栅极电极(左706)在上鳍状物部分702b之上并且在绝缘结构704的第一部分704a之上。第二栅极电极(右706)在上鳍状物部分702b之上并且在绝缘结构704的第二部分704a’之上。第一电介质间隔体(左706的右714a)沿第一栅极电极(左706)的侧壁,并且第二电介质间隔体(右706的左714a)沿第二栅极电极(右706)的侧壁,第二电介质间隔体在绝缘结构704的在第一栅极电极(左706)和第二栅极电极(右706)之间的第三部分704a”之上与第一电介质间隔体是连续的。
[0186]
图9a示出了根据本公开的实施例的针对包括永久栅极堆叠体和外延源极或漏极区的集成电路结构的沿图7e的a-a’轴截取的略微投影截面图。图9b示出了根据本公开的实施例的针对包括外延源极或漏极区和多层沟槽隔离结构的集成电路结构的沿图7e的b-b’轴截取的截面图。
[0187]
参考图9a和图9b,在实施例中,集成电路结构包括栅极电极706的相对侧上的嵌入式源极或漏极结构910。嵌入式源极或漏极结构910具有处于沿第一和第二鳍状物702的上鳍状物部分702b的侧壁的第一和第二电介质间隔体714b和714c的顶表面990下方的底表面910a。嵌入式源极或漏极结构910具有处于沿第一和第二鳍状物702的上鳍状物部分702b的侧壁的第一和第二电介质间隔体714b和714c的顶表面上方的顶表面910b。
[0188]
在实施例中,栅极堆叠体706是永久栅极堆叠体920。在一个这样的实施例中,永久栅极堆叠体920包括栅极电介质层922、诸如功函数栅极层的第一栅极层924以及栅极填充材料926,如图9a所示。在永久栅极结构920在绝缘结构704之上的一个实施例中,永久栅极结构920形成于残余多晶硅部分930上,残余多晶硅部分930可以是涉及牺牲多晶硅栅极电极的替换栅极工艺的残余物。
[0189]
在实施例中,绝缘结构704包括第一绝缘层902、直接在第一绝缘层902上的第二绝缘层904、以及横向上直接在第二绝缘层904上的电介质填充材料906。在一个实施例中,第一绝缘层902是包括硅和氧的非掺杂绝缘层。在一个实施例中,第二绝缘层904包括硅和氮。在一个实施例中,电介质填充材料906包括硅和氧。
[0190]
在另一方面中,外延嵌入式源极或漏极区被实施为用于半导体鳍状物的源极或漏极结构。作为示例,图10示出了根据本公开的实施例的在源极或漏极位置截取的集成电路结构的截面图。
[0191]
参考图10,集成电路结构1000包括p型器件,例如p型金属氧化物半导体(pmos)器件。集成电路结构1000还包括n型器件,例如n型金属氧化物半导体(pmos)器件。
[0192]
图10的pmos器件包括第一多个半导体鳍状物1002,例如由体硅衬底1001形成的硅鳍状物。在源极或漏极位置处,已经去除了鳍状物1002的上部分,并且生长相同或不同的半导体材料以形成源极或漏极结构1004。应当认识到,在栅极电极的任一侧上截取的截面图处,源极或漏极结构1004将看起来相同,例如,它们将在源极侧与在漏极侧看起来是实质上相同的。在实施例中,如所述,源极或漏极结构1004具有处于绝缘结构1006的上表面下方的部分和上方的部分。在实施例中,如所示,源极或漏极结构1004具有强的刻面。在实施例中,
导电接触部1008形成于源极或漏极结构1004之上。然而,在一个这样的实施例中,具有强的刻面以及源极或漏极结构1004的较宽生长至少在一定程度上抑制了导电接触部1008的良好覆盖。
[0193]
图10的nmos器件包括第二多个半导体鳍状物1052,例如由体硅衬底1001形成的硅鳍状物。在源极或漏极位置处,已经去除了鳍状物1052的上部分,并生长了相同或不同的半导体材料以形成源极或漏极结构1054。应当认识到,在栅极电极的任一侧上截取的截面图处,源极或漏极结构1054将看起来相同,例如,它们在源极侧与在漏极侧将看起来是实质上相同的。在实施例中,如上所述,源极或漏极结构1054具有处于绝缘结构1006的上表面下方的部分和上方的部分。在实施例中,如所示,源极或漏极结构1054相对于源极或漏极结构1004具有较弱的刻面。在实施例中,导电接触部1058形成于源极或漏极结构1054之上。在一个这样的实施例中,具有较弱的刻面和所得到的源极或漏极结构1054的较窄生长(与源极或漏极结构1004相比)增强了导电接触部1058的良好覆盖。
[0194]
可以改变pmos器件的源极或漏极结构的形状以改善与上覆接触部的接触面积。例如,图11示出了根据本公开的实施例的在源极或漏极位置截取的另一集成电路结构的截面图。
[0195]
参考图11,集成电路结构1100包括p型半导体(例如,pmos)器件。pmos器件包括第一鳍状物1102,例如硅鳍状物。第一外延源极或漏极结构1104嵌入在第一鳍状物1102中。在一个实施例中,尽管未示出,第一外延源极或漏极结构1104在第一栅极电极的第一侧(可以形成于诸如鳍状物1102的沟道部分的上鳍状物部分之上),并且第二外延源极或漏极结构在这种第一栅极电极的与第一侧相对的第二侧处嵌入在第一鳍状物1102中。在实施例中,第一外延源极或漏极结构1104和第二外延源极或漏极结构包括硅和锗,并具有轮廓1105。在一个实施例中,轮廓是火柴棍轮廓,如图11中所示。第一导电电极1108在第一外延源极或漏极结构1104之上。
[0196]
再次参考图11,在实施例中,集成电路结构1100还包括n型半导体(例如,nmos)器件。nmos器件包括诸如硅鳍状物的第二鳍状物1152。第三外延源极或漏极结构1154嵌入在第二鳍状物1152中。在一个实施例中,尽管未示出,第三外延源极或漏极结构1154在第二栅极电极的第一侧(可以形成于诸如鳍状物1152的沟道部分的上鳍状物部分之上),并且第四外延源极或漏极结构在这种第二栅极电极的与第一侧相对的第二侧处嵌入在第二鳍状物1152中。在实施例中,第三外延源极或漏极结构1154和第四外延源极或漏极结构包括硅,并具有与第一和第二外延源极或漏极结构1004的轮廓1105大体上相同的轮廓。第二导电电极1158在第三外延源极或漏极结构1154之上。
[0197]
在实施例中,第一外延源极或漏极结构1104具有较弱的刻面。在实施例中,第一外延源极或漏极结构1104具有大致50纳米的高度,并具有30-35纳米的范围内的宽度。在一个这样的实施例中,第三外延源极或漏极结构1154具有大致50纳米的高度,并具有30-35纳米的范围内的宽度。
[0198]
在实施例中,第一外延源极或漏极结构1104以第一外延源极或漏极结构1104的底部1104a处的大致20%的锗浓度梯度变化到第一外延源极或漏极结构1104的顶部1104b处的大致45%的锗浓度。在实施例中,第一外延源极或漏极结构1104掺杂有硼原子。在一个这样的实施例中,第三外延源极或漏极结构1154掺杂有磷原子或砷原子。
[0199]
图12a-图12d示出了根据本公开的实施例的在源极或漏极位置处截取并且表示在制造集成电路结构时的各种操作的截面图。
[0200]
参考图12a,制造集成电路结构的方法包括形成鳍状物,例如由硅衬底1201形成的硅鳍状物。鳍状物1202具有下鳍状物部分1202a和上鳍状物部分1202b。在实施例中,尽管未示出,在进入页面的位置处,栅极电极形成在鳍状物1202的上鳍状物部分1202b的部分之上。这样的栅极电极具有与第二侧相对的第一侧,并在第一和第二侧上界定源极或漏极位置。例如,出于例示的目的,图12a-图12d的视图的截面位置是在栅极电极的侧面之一处的源极或漏极位置之一处截取的。
[0201]
参考图12b,使鳍状物1202的源极或漏极位置凹陷以形成凹陷的鳍状物部分1206。鳍状物1202的凹陷的源极或漏极位置可以在栅极电极的一侧和栅极电极的第二侧。参考图12a和图12b两者,在实施例中,电介质间隔体1204沿鳍状物1202的一部分的侧壁形成,例如形成在栅极结构的一侧。在一个这样的实施例中,使鳍状物1202凹陷涉及使鳍状物1202凹陷到电介质间隔体1204的顶表面1204a下方。
[0202]
参考图12c,外延源极或漏极结构1208形成于凹陷的鳍状物1206上,例如,从而可以形成于栅极电极的一侧。在一个这样的实施例中,第二外延源极或漏极结构形成于凹陷的鳍状物1206的处于这种栅极电极的第二侧的第二部分上。在实施例中,外延源极或漏极结构1208包括硅和锗,并具有火柴棍轮廓,如图12c中所示。在实施例中,电介质间隔体1204被包括并沿外延源极或漏极结构1208的侧壁的下部部分1208a,如所示。
[0203]
参考图12d,导电电极1210形成于外延源极或漏极结构1208上。在实施例中,导电电极1210包括导电阻挡层1210a和导电填充材料1201b。在一个实施例中,导电电极1210遵循外延源极或漏极结构1208的轮廓,如所示。在其它实施例中,在制造导电电极1210期间腐蚀外延源极或漏极结构1208的上部部分。
[0204]
在另一方面中,描述了用于隔离的鳍状物的鳍状物修剪隔离(fti)和单栅极间隔。利用从衬底表面突出的半导体材料的鳍状物的非平面晶体管采用了栅极电极,该栅极电极包裹鳍状物的两个、三个或甚至全部侧面(即,双栅极、三栅极、纳米线晶体管)。典型地,源极和漏极区然后在栅极电极的任一侧上形成在鳍状物中,或形成为鳍状物的重新生长部分。为了将第一非平面晶体管的源极或漏极区与相邻第二非平面晶体管的源极或漏极区隔离,可以在两个相邻鳍状物之间形成间隙或空间。这样的隔离间隙通常需要某种掩蔽蚀刻。一旦被隔离,然后典型地再次利用某种掩蔽蚀刻(例如,取决于具体实施方式,线蚀刻或开口蚀刻)在个体鳍状物之上对栅极堆叠体进行图案化。
[0205]
上文所述的鳍状物隔离技术的一个潜在问题在于,栅极未与鳍状物的端部自对准,并且栅极堆叠体图案与半导体鳍状物图案的对准依赖于这两个图案的重叠。这样一来,光刻重叠容差被添加到半导体鳍状物和隔离间隙的尺寸设定,其中鳍状物需要更大的长度,并且隔离间隙大于针对给定水平的晶体管功能的隔离间隙。因此,减小这种过度尺寸设定的器件架构和制造技术在晶体管密度方面提供了高度有利的改善。
[0206]
上文描述的鳍状物隔离技术的另一个潜在问题在于,改善载流子迁移率所需的半导体鳍状物中的应力可以从晶体管的沟道区失去,在此,在制造期间留下过多的不受约束的鳍状物表面,允许鳍状物应变发生驰豫。因此,保持更高水平的期望鳍状物应力的器件架构和制造技术在非平面晶体管性能方面提供了有利的改善。
[0207]
根据本公开的实施例,本文描述了贯穿栅极鳍状物隔离架构和技术。在图示的示例性实施例中,诸如集成电路(ic)的微电子器件中的非平面晶体管以自对准到晶体管的栅极电极的方式彼此隔离。尽管本公开的实施例适用于几乎任何采用非平面晶体管的ic,但示例性ic包括但不限于:包括逻辑和存储器(sram)部分的微处理器内核、rfic(例如,包括数字基带和模拟前端模块的无线ic)和功率ic。
[0208]
在实施例中,利用隔离区将相邻半导体鳍状物的两个端部彼此电隔离,仅利用一个图案化掩模级来相对于栅极电极定位该隔离区。在实施例中,采用单个掩模形成固定间距的多个牺牲占位体条带,占位体条带的第一子集界定隔离区的位置或尺寸,而占位体条带的第二子集界定栅极电极的位置或尺寸。在某些实施例中,去除占位体条带的第一子集,并在去除第一子集获得的开口中向半导体鳍状物中制造隔离切口,同时利用非牺牲栅极电极堆叠体最终替换占位体条带的第二子集。由于用于栅极电极替换的占位体的子集被用于形成隔离区,该方法和所得架构在本文被称为“贯穿栅极”隔离。例如,本文描述的一个或多个贯穿栅极隔离实施例可以实现更高的晶体管密度和更高水平的有利晶体管沟道应力。
[0209]
利用放置或界定栅极电极之后所界定的隔离,可以实现更大的晶体管密度,因为可以在场上利用栅极电极完美地进行鳍状物隔离尺寸设定和放置,以使得栅极电极和隔离区是单个掩蔽层级的最小特征间距的整数倍。在半导体鳍状物与在其上设置鳍状物的衬底具有晶格失配的其它实施例中,在放置或界定栅极电极之后通过界定隔离来保持更大程度的应变。对于这样的实施例,在界定鳍状物的端部之前形成的晶体管的其它特征(例如,栅极电极和增加的源极或漏极材料)有助于在向鳍状物中制作隔离切口之后通过机械方式维持鳍状物应变。
[0210]
为了提供进一步的语境,晶体管缩放能够受益于芯片内的单元的更密集包装。当前,大部分单元与其毗邻单元分开两个或更多虚设栅极,虚设栅极具有掩埋鳍状物。通过蚀刻这两个或更多虚设栅极下面的鳍状物来隔离单元,虚设栅极将一个单元连接到另一个单元。如果可以将分隔毗邻单元的虚设栅极的数量从两个或更多减小到一个,缩放可能显著有益。如上所述,一种方案需要两个或更多虚设栅极。在鳍状物图案化期间蚀刻两个或更多虚设栅极下方的鳍状物。这种方式的潜在问题在于,虚设栅极消耗芯片上的能够用于单元的空间。在实施例中,本文描述的方式使得能够仅使用单个虚设栅极来分隔毗邻单元。
[0211]
在实施例中,将鳍状物修剪隔离方式实施为自对准图案化方案。在此,蚀刻掉单个栅极下面的鳍状物。于是,可以由单个虚设栅极分隔毗邻单元。这种方式的优点可以包括节省芯片上的空间并允许给定面积上有更大的计算能力。该方式还可以允许在子鳍状物间距距离处执行鳍状物修剪。
[0212]
图13a和图13b示出了根据本公开的实施例的表示对用于形成局部隔离结构的具有多栅极间隔的鳍状物的图案化的方法中的各种操作的平面图。
[0213]
参考图13a,多个鳍状物1302被示为具有沿第一方向1304的长度。沿正交于第一方向1304的第二方向1308示出了网格1306,网格之间具有间隔1307,界定了用于最终形成多个栅极线的位置。
[0214]
参考图13b,切割(例如,通过蚀刻工艺去除)多个鳍状物1302的一部分,以在其中留下具有切口1312的鳍状物1310。因此,切口1312中最终形成的隔离结构具有超过单个栅极线的尺寸,例如三个栅极线1306的尺寸。因此,将至少部分在切口1312中形成的隔离结构
之上形成最终沿栅极线1306的位置形成的栅极结构。于是,切口1312是相对宽的鳍状物切口。
[0215]
图14a-图14d示出了根据本公开的另一实施例的表示对用于形成局部隔离结构的具有单栅极间隔的鳍状物的图案化的方法中的各种操作的平面图。
[0216]
参考图14a,制造集成电路结构的方法包括形成多个鳍状物1402,多个鳍状物1402中的个体鳍状物沿第一方向1404具有最长尺寸。多个栅极结构1406在多个鳍状物1402之上,栅极结构1406中的个体栅极结构沿与第一方向1404正交的第二方向1408具有最长尺寸。在实施例中,栅极结构1406是例如由多晶硅制造的牺牲或虚设栅极线。在一个实施例中,多个鳍状物1402是硅鳍状物,并与下方硅衬底的一部分是连续的。
[0217]
参考图14b,在多个栅极结构1406中的相邻栅极结构之间形成电介质材料结构1410。
[0218]
参考图14c,去除多个栅极结构1406之一的部分1412,以暴露多个鳍状物1402中的每个的部分1414。在实施例中,去除多个栅极结构1406之一的部分1412涉及使用比多个栅极结构1406之一的部分1412的宽度1418更宽的光刻窗口1416。
[0219]
参考图14d,去除多个鳍状物1402中的每个的暴露部分1414以形成切口区1420。在实施例中,使用干法或等离子体蚀刻工艺去除多个鳍状物1402中的每个的暴露部分1414。在实施例中,去除多个鳍状物1402中的每个的暴露部分1414涉及蚀刻到小于多个鳍状物1402的高度的深度。在一个这样的实施例中,该深度大于多个鳍状物1402中的源极或漏极区的深度。在实施例中,该深度深于多个鳍状物1402的有源部分的深度,以提供隔离裕量。在实施例中,去除多个鳍状物1402中的每个的暴露部分1414而不蚀刻或大体上不蚀刻多个鳍状物1402的源极或漏极区(例如,外延源极或漏极区)。在一个这样的实施例中,去除多个鳍状物1402中的每个的暴露部分1414而不横向蚀刻或大体上不横向蚀刻多个鳍状物1402的源极或漏极区(例如,外延源极或漏极区)。
[0220]
在实施例中,最终例如在多个鳍状物1402中的每个的被去除的部分1414的位置中利用绝缘层填充切口区1420。下文描述示例性绝缘层或“多切口”或“插塞”结构。然而,在其它实施例中,仅利用绝缘层部分填充切口区1420,然后在其中形成导电结构。可以将导电结构用作局部互连。在实施例中,在利用绝缘层或利用容纳局部互连结构的绝缘层填充切口区1420之前,可以由固体源极掺杂剂层通过切口区1420向一个或多个鳍状物的局部切口部分中注入或输送掺杂剂。
[0221]
图15示出了根据本公开的实施例的具有带有用于局部隔离的多栅极间隔的鳍状物的集成电路结构的截面图。
[0222]
参考图15,硅鳍状物1502具有与第二鳍状物部分1506横向相邻的第一鳍状物部分1504。第一鳍状物部分1504通过较宽切口1508与第二鳍状物部分1506分隔,例如如结合图13a和图13b所述,较宽切口1508具有宽度x。电介质填充材料1510形成于较宽切口1508中并将第一鳍状物部分1504与第二鳍状物部分1506电隔离。多个栅极线1512在硅鳍状物1502之上,其中栅极线中的每者可以包括栅极电介质和栅极电极堆叠体1514、电介质帽层1516和侧壁间隔体1518。两个栅极线(左侧两个栅极线1512)占据较宽切口1508,这样一来,有效地通过两个虚设栅极或无源栅极将第一鳍状物部分1504与第二鳍状物部分1506分隔。
[0223]
相比之下,鳍状物部分可以分隔开单个栅极距离。作为示例,图16a示出了根据本
公开的另一实施例的具有带有用于局部隔离的单栅极间隔的鳍状物的集成电路结构的截面图。
[0224]
参考图16a,硅鳍状物1602具有与第二鳍状物部分1606横向相邻的第一鳍状物部分1604。通过较窄切口1608将第一鳍状物部分1604与第二鳍状物部分1606分隔开,例如结合图14a-图14d所述,较窄切口1608具有宽度y,其中y小于图15的x。电介质填充材料1610形成于较窄切口1608中并将第一鳍状物部分1604与第二鳍状物部分1606电隔离。多个栅极线1612在硅鳍状物1602之上,其中栅极线中的每者可以包括栅极电介质和栅极电极堆叠体1614、电介质帽层1616和侧壁间隔体1618。电介质填充材料1610占据单个栅极线先前所在的位置,这样一来,第一鳍状物部分1604通过单个“带插塞”栅极线与第二鳍状物部分1606分隔开。在一个实施例中,残余间隔体材料1620保留在被去除的栅极线部分的位置的侧壁上,如所示。应当认识到,可以由通过较早、较宽的鳍状物切口工艺制造的两个或更多个无源栅极线(具有三个无源栅极线的区域1622)将鳍状物1602的其它区域彼此隔离,如下所述。
[0225]
再次参考图16a,集成电路结构1600包括鳍状物1602,例如硅鳍状物。鳍状物1602沿第一方向1650具有最长尺寸。隔离结构1610沿第一方向1650将鳍状物1602的第一上部部分1604与鳍状物1602的第二上部部分1606分隔。隔离结构1610沿第一方向1650具有中心1611。
[0226]
第一栅极结构1612a在鳍状物1602的第一上部部分1604之上,第一栅极结构1612a沿与第一方向1650正交的第二方向1652(例如,进入页面)具有最长尺寸。第一栅极结构1612a的中心1613a沿第一方向1650与隔离结构1610的中心1611间隔开一间距。第二栅极结构1612b在鳍状物的第一上部部分1604之上,第二栅极结构1612b沿第二方向1652具有最长尺寸。第二栅极结构1612b的中心1613b沿第一方向1650与第一栅极结构1612a的中心1613a间隔开一间距。第三栅极结构1612c在鳍状物1602的第二上部部分1606之上,第三栅极结构1612c沿第二方向1652具有最长尺寸。第三栅极结构1612c的中心1613c沿第一方向1650与隔离结构1610的中心1611间隔开一间距。在实施例中,隔离结构1610具有与第一栅极结构1612a的顶部、第二栅极结构1612b的顶部和第三栅极结构1612c的顶部大体上共面的顶部,如所示。
[0227]
在实施例中,第一栅极结构1612a、第二栅极结构1612b和第三栅极结构1612c中的每个包括高k栅极电介质层1662的侧壁上和之间的栅极电极1660,如针对示例性第三栅极结构1612c所示。在一个这样的实施例中,第一栅极结构1612a、第二栅极结构1612b和第三栅极结构1612c中的每个还包括栅极电极1660上以及高k栅极电介质层1662的侧壁上的绝缘帽1616。
[0228]
在实施例中,集成电路结构1600还包括鳍状物1602的处于第一栅极结构1612a和隔离结构1610之间的第一上部部分1604上的第一外延半导体区1664a。第二外延半导体区1664b在鳍状物1602的处于第一栅极结构1612a和第二栅极结构1612b之间的第一上部部分1604上。第三外延半导体区1664c在鳍状物1602的处于第三栅极结构1612c和隔离结构1610之间的第二上部部分1606上。在一个实施例中,第一1664a、第二1664b和第三1664c外延半导体区包括硅和锗。在另一个实施例中,第一1664a、第二1664b和第三1664c外延半导体区包括硅。
[0229]
在实施例中,隔离结构1610在鳍状物1602的第一上部部分1604上和鳍状物1602的第二上部部分1606上诱发应力。在一个实施例中,应力为压缩应力。在一个实施例中,应力为拉伸应力。在其它实施例中,隔离结构1610是部分填充绝缘层,然后在其中形成导电结构。可以将导电结构用作局部互连。在实施例中,在利用绝缘层或利用容纳局部互连结构的绝缘层形成隔离结构1610之前,由固体源极掺杂剂层向一个或多个鳍状物的局部切口部分中注入或输送掺杂剂。
[0230]
在另一方面中,要认识到,替代在鳍状物切口的局部位置或鳍状物切口的较宽位置处的有源栅极电极,可以形成隔离结构,例如上述隔离结构1610。此外,可以将鳍状物切口的这种局部或较宽位置的深度形成为在鳍状物内相对于彼此变化的深度。在第一示例中,图16b示出了根据本公开的实施例的显示可以形成鳍状物隔离结构以取代栅极电极的位置的截面图。
[0231]
参考图16b,诸如硅鳍状物的鳍状物1680形成在衬底1682上方,并且可以与衬底1682连续。鳍状物1680具有鳍状物端部或宽鳍状物切口1684,例如,在例如上述的鳍状物修剪最后方式中可以在鳍状物图案化时形成所述切口1684。鳍状物1680还具有局部切口1686,其中,例如使用其中利用电介质插塞替换虚设栅极的鳍状物修剪隔离方式去除了鳍状物1680的部分,如上所述。有源栅极电极1688形成于鳍状物之上,并且出于例示的目的,被示为稍微处于鳍状物1680的前方,并且鳍状物1680在背景中,其中虚线代表前视图中覆盖的区域。电介质插塞1690可以形成于鳍状物端部或宽鳍状物切口1684处,以替代在这样的位置使用有源栅极。此外,或作为替代,可以在局部切口1686处形成电介质插塞1692,以替代在这样的位置使用有源栅极。应当认识到,外延源极或漏极区1694还被示于有源栅极电极1688和插塞1690或1692之间的鳍状物1680的位置处。此外,在实施例中,局部切口1686处的鳍状物的端部的表面粗糙度比较宽切口位置处的鳍状物的端部更粗糙,如图16b所示。
[0232]
图17a-图17c示出了根据本公开的实施例的使用鳍状物修剪隔离方式制造的鳍状物切口的各种深度可能性。
[0233]
参考图17a,诸如硅鳍状物的半导体鳍状物1700形成在下方衬底1702上方,并可以与下方衬底1702连续。鳍状物1700具有下鳍状物部分1700a和上鳍状物部分1700b,如绝缘结构1704相对于鳍状物1700的高度所界定的。局部鳍状物隔离切口1706a将鳍状物1700分隔成第一鳍状物部分1710和第二鳍状物部分1712。在图17a的示例中,如沿a-a’轴所示,局部鳍状物隔离切口1706a的深度是鳍状物1700到衬底1702的整个深度。
[0234]
参考图17b,在第二示例中,如沿a-a’轴所示,局部鳍状物隔离切口1706b的深度深于鳍状物1700到衬底1702的整个深度。亦即,切口1706b延伸到下方衬底1702中。
[0235]
参考图17c,在第三示例中,如沿a-a’轴所示,局部鳍状物隔离切口1706c的深度小于鳍状物1700的整个深度,但深于隔离结构1704的上表面。再次参考图17c,在第四示例中,如沿a-a’轴所示,局部鳍状物隔离切口1706d的深度小于鳍状物1700的整个深度,并处于与隔离结构1704的上表面大致共面的水平。
[0236]
图18示出了根据本公开的实施例的显示鳍状物内的鳍状物切口的局部位置的深度与较宽位置的深度相对比的可能选项的平面图和沿a-a’轴截取的对应截面图。
[0237]
参考图18,诸如硅鳍状物的第一和第二半导体鳍状物1800和1802具有在绝缘结构1804上方延伸的上鳍状物部分1800b和1802b。鳍状物1800和1802都具有鳍状物端部或宽鳍
状物切口1806,例如,例如在上述鳍状物修剪最后方式中可以在鳍状物图案化时形成所述切口1806。鳍状物1800和1802都还具有局部切口1808,其中,例如使用其中利用电介质插塞替换虚设栅极的鳍状物修剪隔离方式去除了鳍状物1800或1802的一部分,如上所述。在实施例中,局部切口1808处的鳍状物1800和1802的端部的表面粗糙度比1806的位置处的鳍状物的端部更粗糙,如图18所示。
[0238]
参考图18的截面图,可以在绝缘结构1804的高度下方看到下鳍状物部分1800a和1802a。而且,截面图中看到的是在形成绝缘结构1804之前在鳍状物修剪最后工艺处去除的鳍状物的残余部分1810,如上所述。尽管被示为在衬底上方突出,残余部分1810还可以处于衬底的水平或进入衬底中,如额外的示例性宽切口深度1820所示。应当认识到,鳍状物1800和1802的宽切口1806也可以处于针对切口深度1820所描述的水平,示出了其示例。局部切口1808可以具有与针对图17a-图17c所描述的深度对应的示例性深度,如所示。
[0239]
共同参考图16a、图16b、图17a-图17c和图18,根据本公开的实施例,集成电路结构包括鳍状物,该鳍状物包括硅,该鳍状物具有顶部和侧壁,其中顶部沿第一方向具有最长尺寸。第一隔离结构沿第一方向将鳍状物的第一部分的第一端部与鳍状物的第二部分的第一端部分隔开。第一隔离结构沿第一方向具有宽度。鳍状物的第一部分的第一端部具有表面粗糙度。栅极结构包括处于鳍状物的第一部分的区域的顶部之上并与该区域的侧壁横向相邻的栅极电极。栅极结构沿第一方向具有宽度,并且栅极结构的中心与第一隔离结构的中心沿第一方向间隔开一间距。第二隔离结构在鳍状物的第一部分的第二端部之上,该第二端部与第一端部相对。第二隔离结构沿第一方向具有宽度,并且鳍状物的第一部分的第二端部具有小于鳍状物的第一部分的第一端部的表面粗糙度的表面粗糙度。第二隔离结构的中心沿第一方向与栅极结构的中心间隔开一间距。
[0240]
在一个实施例中,鳍状物的第一部分的第一端部具有扇形形貌,如图16b所示。在一个实施例中,第一外延半导体区在栅极结构和第一隔离结构之间的鳍状物的第一部分上。第二外延半导体区在栅极结构和第二隔离结构之间的鳍状物的第一部分上。在一个实施例中,第一和第二外延半导体区沿正交于第一方向的第二方向具有宽度,沿第二方向的宽度比鳍状物的第一部分在栅极结构下面沿第二方向的宽度更宽,例如,如结合图11和图12d所示的外延特征,其例如在图11和图12d所示的透视图中具有比生长所述外延特征的鳍状物部分更宽的宽度。在一个实施例中,栅极结构还包括处于栅极电极和鳍状物的第一部分之间并沿栅极电极的侧壁的高k电介质层。
[0241]
共同参考图16a、图16b、图17a-图17c和图18,根据本公开的另一实施例,集成电路结构包括鳍状物,该鳍状物包括硅,该鳍状物具有顶部和侧壁,其中顶部沿一方向具有最长尺寸。第一隔离结构沿该方向将鳍状物的第一部分的第一端部与鳍状物的第二部分的第一端部分隔开。鳍状物的第一部分的第一端部具有深度。栅极结构包括处于鳍状物的第一部分的区域的顶部之上并与该区域的侧壁横向相邻的栅极电极。第二隔离结构在鳍状物的第一部分的第二端部之上,该第二端部与第一端部相对。鳍状物的第一部分的第二端部具有与鳍状物的第一部分的第一端部的深度不同的深度。
[0242]
在一个实施例中,鳍状物的第一部分的第二端部的深度小于鳍状物的第一部分的第一端部的深度。在一个实施例中,鳍状物的第一部分的第二端部的深度大于鳍状物的第一部分的第一端部的深度。在一个实施例中,第一隔离结构沿该方向具有宽度,并且栅极结
构沿该方向具有宽度。第二隔离结构沿该方向具有宽度。在一个实施例中,栅极结构的中心与第一隔离结构的中心沿该方向间隔开一间距,并且第二隔离结构的中心与栅极结构的中心沿该方向间隔开所述间距。
[0243]
共同参考图16a、图16b、图17a-图17c和图18,根据本公开的另一实施例,集成电路结构包括第一鳍状物,该第一鳍状物包括硅,该第一鳍状物具有顶部和侧壁,其中该顶部沿一方向具有最长尺寸,并且不连续性沿所述方向将第一鳍状物的第一部分的第一端部与鳍状物的第二部分的第一端部分开。第一鳍状物的第一部分具有与第一端部相对的第二端部,并且鳍状物的第一部分的第一端部具有深度。集成电路结构还包括第二鳍状物,该第二鳍状物包括硅,该第二鳍状物具有顶部和侧壁,其中顶部沿该方向具有最长尺寸。集成电路结构还包括第一鳍状物和第二鳍状物之间的剩余或残余鳍状物部分。残余鳍状物部分具有顶部和侧壁,其中顶部沿该方向具有最长尺寸,并且顶部与鳍状物的第一部分的第一端部的深度不共面。
[0244]
在一个实施例中,鳍状物的第一部分的第一端部的深度低于剩余或残余鳍状物部分的顶部。在一个实施例中,鳍状物的第一部分的第二端部具有与鳍状物的第一部分的第一端部的深度共面的深度。在一个实施例中,鳍状物的第一部分的第二端部具有低于鳍状物的第一部分的第一端部的深度的深度。在一个实施例中,鳍状物的第一部分的第二端部具有高于鳍状物的第一部分的第一端部的深度的深度。在一个实施例中,鳍状物的第一部分的第一端部的深度高于剩余或残余鳍状物部分的顶部。在一个实施例中,鳍状物的第一部分的第二端部具有与鳍状物的第一部分的第一端部的深度共面的深度。在一个实施例中,鳍状物的第一部分的第二端部具有低于鳍状物的第一部分的第一端部的深度的深度。在一个实施例中,鳍状物的第一部分的第二端部具有高于鳍状物的第一部分的第一端部的深度的深度。在一个实施例中,鳍状物的第一部分的第二端部具有与残余鳍状物部分的顶部共面的深度。在一个实施例中,鳍状物的第一部分的第二端部具有低于残余鳍状物部分的顶部的深度。在一个实施例中,鳍状物的第一部分的第二端部具有高于残余鳍状物部分的顶部的深度。
[0245]
在另一方面中,可以调节局部鳍状物切口或宽鳍状物切口的位置中形成的电介质插塞以向鳍状物或鳍状物部分提供特定应力。在这样的实施方式中,电介质插塞可以被称为鳍状物端部应力源。
[0246]
一个或多个实施例涉及基于鳍状物的半导体器件的制造。可以通过从多插塞填充工艺诱发的沟道应力做出对这样的器件的性能改进。实施例可以包括利用多插塞填充工艺中的材料性质在金属氧化物半导体场效应晶体管(mosfet)沟道中诱发机械应力。结果,诱发的应力能够提升晶体管的迁移率和驱动电流。此外,本文描述的插塞填充的方法可以允许消除沉积期间的任何接缝或孔隙形成。
[0247]
为了提供语境,操控邻接鳍状物的插塞填充的独特材料性质能够在沟道内诱发应力。根据一个或多个实施例,通过调节插塞填充材料的组分、沉积和后期处理条件,调制沟道中的应力以有益于nmos和pmos晶体管两者。此外,与诸如外延源极或漏极的其它常见应力源技术相比,这样的插塞能够在鳍状物衬底中存在更深。插塞填充实现这种效果的性质还在沉积期间消除了接缝或孔隙,并缓解了工艺期间的某些缺陷模式。
[0248]
为了提供更多语境,当前,没有用于栅极(多)插塞的人为应力工程。从诸如外延源
极或漏极、虚设多栅极去除、应力衬层等的传统应力源的应力增强不幸地往往会随着器件间距缩小而减小。为了解决以上问题中的一个或多个,根据本公开的一个或多个实施例,向晶体管结构中并入了额外的应力源。这种工艺的另一种可能益处可以是消除插塞内的接缝或孔隙,对于其它化学气相沉积法而言,插塞内的接缝或孔隙是常见的。
[0249]
图19a和图19b示出了根据本公开的实施例的在选择具有宽切口的鳍状物的端部处的鳍状物端部应力源位置作为例如上文所述鳍状物修剪最后工艺的部分的方法中的各种操作的截面图。
[0250]
参考图19a,诸如硅鳍状物的鳍状物1900形成在衬底1902上方并可以与衬底1902连续。鳍状物1900具有鳍状物端部或宽鳍状物切口1904,例如,例如在上述鳍状物修剪最后方式中可以在鳍状物图案化时形成所述切口1904。有源栅极电极位置1908和虚设栅极电极位置1908形成于鳍状物1900之上,并且出于例示的目的,被示为稍微处于鳍状物1900的前方,并且鳍状物1900在背景中,其中虚线代表前视图中覆盖的区域。应当认识到,外延源极或漏极区1910还被示为处于栅极位置1906和1908之间的鳍状物1900的位置处。此外,在栅极位置1906和1908之间的鳍状物1900的位置处包括层间电介质材料1912。
[0251]
参考图19b,去除栅极占位体结构或虚设栅极位置1908,以暴露鳍状物端部和宽鳍状物切口1904。该去除产生了开口1920,其中最终可以形成例如鳍状物端部应力源电介质插塞的电介质插塞。
[0252]
图20a和图20b示出了根据本公开的实施例的在选择具有局部切口的鳍状物端部处的鳍状物端部应力位置作为例如上文所述的鳍状物修剪隔离工艺的部分的方法中的各种操作的截面图。
[0253]
参考图20a,诸如硅鳍状物的鳍状物2000形成在衬底2002上方并可以与衬底2002连续。鳍状物2000具有局部切口2004,其中,例如使用其中去除了虚设栅极并在局部位置中蚀刻鳍状物的鳍状物修剪隔离方式去除鳍状物2000的部分,如上所述。有源栅极电极位置2006和虚设栅极电极位置2008形成于鳍状物2000之上,并出于例示的目的,被示为稍微处于鳍状物2000的前方,并且鳍状物2000在背景中,其中虚线代表前视图中覆盖的区域。应当认识到,外延源极或漏极区2010还被示于栅极位置2006和2008之间的鳍状物2000的位置处。此外,在栅极位置2006和2008之间的鳍状物2000的位置处包括层间电介质材料2012。
[0254]
参考图20b,去除栅极占位体结构或虚设栅极电极位置2008,以暴露具有局部切口2004的鳍状物端部。该去除产生了开口2020,其中最终可以形成例如鳍状物端部应力源电介质插塞的电介质插塞。
[0255]
图21a-图21m示出了根据本公开的实施例的制造具有差异化鳍状物端部电介质插塞的集成电路结构的方法中的各种操作的截面图。
[0256]
参考图21a,起始结构2100包括nmos区和pmos区。起始结构2100的nmos区包括第一鳍状物2102,例如第一硅鳍状物,其形成于衬底2104上方并可以与衬底2104连续。第一鳍状物2102具有鳍状物端部2106,其可以由局部或宽鳍状物切口形成。第一有源栅极电极位置2108和第一虚设栅极电极位置2110形成于第一鳍状物2102之上,并且出于例示的目的,被示为稍微处于第一鳍状物2102的前方,并且第一鳍状物2102在背景中,其中虚线代表前视图中覆盖的区域。在栅极位置2108和2110之间的第一鳍状物2102的位置处还示出了外延n型源极或漏极区2112,例如外延硅源极或漏极结构。此外,在栅极位置2108和2110之间的第
一鳍状物2102的位置处包括层间电介质材料2114。
[0257]
起始结构2100的pmos区包括第二鳍状物2122,例如第二硅鳍状物,其形成于衬底2104上方并可以与衬底2104连续。第二鳍状物2122具有鳍状物端部2126,其可以由局部或宽鳍状物切口形成。第二有源栅极电极位置2128和第二虚设栅极电极位置2130形成于第二鳍状物2122之上,并且出于例示的目的,被示为稍微处于第二鳍状物2122的前方,并且第二鳍状物2122在背景中,其中虚线代表前视图中覆盖的区域。在栅极位置2128和2130之间的第二鳍状物2122的位置处还示出了外延p型源极或漏极区2132,例如外延硅锗源极或漏极结构。此外,在栅极位置2128和2130之间的第二鳍状物2122的位置处包括层间电介质材料2134。
[0258]
参考图21b,去除分别在位置2110和2130处的第一和第二虚设栅极电极。在去除时,暴露第一鳍状物2102的鳍状物端部2106和第二鳍状物2122的鳍状物端部2126。该去除还分别产生了开口2116和2136,其中最终可以形成例如鳍状物端部应力源电介质插塞的电介质插塞。
[0259]
参考图21c,材料衬层2140与图21b的结构共形地形成。在实施例中,材料衬层包括硅和氮,例如氮化硅材料衬层。
[0260]
参考图21d,诸如金属氮化物层的保护冠层2142形成在图21c的结构上。
[0261]
参考图21e,诸如基于碳的硬掩模材料的硬掩模材料2144形成在图21d的结构之上。光刻掩模或掩模堆叠体2146形成在硬掩模材料2144之上。
[0262]
参考图21f,从图21e的结构去除pmos区中的硬掩模材料2144的部分和保护冠层2142的部分。还去除光刻掩模或掩模堆叠体2146。
[0263]
参考图21g,第二材料衬层2148与图21f的结构共形地形成。在实施例中,第二材料衬层包括硅和氮,例如第二氮化硅材料衬层。在实施例中,第二材料衬层2148具有不同的应力状态,以调整暴露的插塞中的应力。
[0264]
参考图21h,诸如第二基于碳的硬掩模材料的第二硬掩模材料2150形成于图21g的结构之上,并且然后凹陷到结构的pmos区的开口2136内。
[0265]
参考图21i,从图21h的结构蚀刻掉第二材料衬层2148,以从nmos区去除第二材料衬层2148,并在所述结构的pmos区中使第二材料衬层2148凹陷。
[0266]
参考图21j,从图21i的结构去除硬掩模材料2144、保护冠层2142和第二硬掩模材料2150。与开口2136相比,该去除分别留下了用于开口2116的两个不同填充结构。
[0267]
参考图21k,绝缘填充材料2152形成在图21j的结构的开口2116和2136中并被平面化。在实施例中,绝缘填充材料2152是可流动氧化物材料,例如可流动氧化硅或二氧化硅材料。
[0268]
参考图21l,绝缘填充材料2152凹陷到图21k的结构的开口2116和2136内,以形成凹陷的绝缘填充材料2154。在实施例中,执行蒸汽氧化工艺作为凹陷工艺的部分,或在凹陷工艺之后执行蒸汽氧化工艺以固化凹陷的绝缘填充材料2154。在一个这样的实施例中,凹陷的绝缘填充材料2154收缩,从而在鳍状物2102和2122上诱发拉伸应力。然而,pmos区中比nmos区中具有更少的拉伸应力诱发材料。
[0269]
参考图21m,第三材料衬层2156在图21l的结构之上。在实施例中,第三材料衬层2156包括硅和氮,例如第三氮化硅材料衬层。在实施例中,第三材料衬层2156防止凹陷的绝
缘填充材料2154在后续源极或漏极接触部蚀刻期间被蚀刻掉。
[0270]
图22a-图22d示出了根据本公开的实施例的pmos鳍状物端部应力源电介质插塞的示例性结构的截面图。
[0271]
参考图22a,结构2100的pmos区上的开口2136包括沿开口2136的侧壁的材料衬层2140。第二材料衬层2148与材料衬层2140的下部部分共形,但相对于材料衬层2140的上部部分凹陷。凹陷的绝缘填充材料2154在第二材料衬层2148内,并具有与第二材料衬层2148的上表面共面的上表面。第三材料衬层2156在材料衬层2140的上部部分内,并且在绝缘填充材料2154的上表面上和第二材料衬层2148的上表面上。第三材料衬层2156具有接缝2157,例如,作为用于形成第三材料衬层2156的沉积工艺的人工制品。
[0272]
参考图22b,结构2100的pmos区上的开口2136包括沿开口2136的侧壁的材料衬层2140。第二材料衬层2148与材料衬层2140的下部部分共形,但相对于材料衬层2140的上部部分凹陷。凹陷的绝缘填充材料2154在第二材料衬层2148内,并具有与第二材料衬层2148的上表面共面的上表面。第三材料衬层2156在材料衬层2140的上部部分内,并且在绝缘填充材料2154的上表面上和第二材料衬层2148的上表面上。第三材料衬层2156没有接缝。
[0273]
参考图22c,结构2100的pmos区上的开口2136包括沿开口2136的侧壁的材料衬层2140。第二材料衬层2148与材料衬层2140的下部部分共形,但相对于材料衬层2140的上部部分凹陷。凹陷的绝缘填充材料2154在第二材料衬层2148内和之上,并具有在第二材料衬层2148的上表面上方的上表面。第三材料衬层2156在材料衬层2140的上部部分内,并在绝缘填充材料2154的上表面上。第三材料衬层2156被示为没有接缝,但在其它实施例中,第三材料衬层2156具有接缝。
[0274]
参考图22d,结构2100的pmos区上的开口2136包括沿开口2136的侧壁的材料衬层2140。第二材料衬层2148与材料衬层2140的下部部分共形,但相对于材料衬层2140的上部部分凹陷。凹陷的绝缘填充材料2154在第二材料衬层2148内,并具有凹陷到第二材料衬层2148的上表面下方的上表面。第三材料衬层2156在材料衬层2140的上部部分内,并在绝缘填充材料2154的上表面上和第二材料衬层2148的上表面上。第三材料衬层2156被示为没有接缝,但在其它实施例中,第三材料衬层2156具有接缝。
[0275]
共同参考图19a、图19b、图20a、图20b、图21a-图21m以及图22a-图22d,根据本公开的实施例,集成电路结构包括鳍状物,例如硅,该鳍状物具有顶部和侧壁。顶部沿一方向具有最长尺寸。第一隔离结构在鳍状物的第一端部之上。栅极结构包括处于鳍状物的区域的顶部之上并与该区域的侧壁横向相邻的栅极电极。栅极结构沿该方向与第一隔离结构间隔开。第二隔离结构在鳍状物的第二端部之上,该第二端部与第一端部相对。第二隔离结构沿该方向与栅极结构间隔开。第一隔离结构和第二隔离结构都包括横向围绕与第一电介质材料不同的凹陷的第二电介质材料(例如,第二材料衬层2148)的第一电介质材料(例如,材料衬层2140)。凹陷的第二电介质材料横向围绕与第一和第二电介质材料不同的第三电介质材料(例如,凹陷的绝缘填充材料2154)的至少一部分。
[0276]
在一个实施例中,第一隔离结构和第二隔离结构都还包括被第一电介质材料的上部部分横向围绕的第四电介质材料(例如,第三材料衬层2156),第四电介质材料在第三电介质材料的上表面上。在一个这样的实施例中,第四电介质材料进一步在第二电介质材料的上表面上。在另一个这样的实施例中,第四电介质材料具有大致竖直的中心接缝。在另一
个这样的实施例中,第四电介质材料没有接缝。
[0277]
在一个实施例中,第三电介质材料具有与第二电介质材料的上表面共面的上表面。在一个实施例中,第三电介质材料具有在第二电介质材料的上表面下方的上表面。在一个实施例中,第三电介质材料具有在第二电介质材料的上表面上方的上表面,并且第三电介质材料进一步在第二电介质材料的上表面之上。在一个实施例中,第一和第二隔离结构在鳍状物上诱发压缩应力。在一个这样的实施例中,栅极电极为p型栅极电极。
[0278]
在一个实施例中,第一隔离结构沿该方向具有宽度,栅极结构沿该方向具有宽度,并且第二隔离结构沿该方向具有宽度。在一个这样的实施例中,栅极结构的中心与第一隔离结构的中心沿该方向间隔开一间距,并且第二隔离结构的中心与栅极结构的中心沿该方向间隔开所述间距。在一个实施例中,第一和第二隔离结构都在层间电介质层中的对应沟槽中。
[0279]
在一个这样的实施例中,第一源极或漏极区在栅极结构和第一隔离结构之间。第二源极或漏极区在栅极结构和第二隔离结构之间。在一个这样的实施例中,第一和第二源极或漏极区是包括硅和锗的嵌入式源极或漏极区。在一个这样的实施例中,栅极结构还包括处于栅极电极和鳍状物之间并沿栅极电极的侧壁的高k电介质层。
[0280]
在另一方面中,个体电介质插塞的深度可以在半导体结构内或公共衬底上形成的架构内变化。作为示例,图23a示出了根据本公开的另一实施例的具有鳍状物端部应力诱发特征的另一半导体结构的截面图。参考图23a,包括浅电介质插塞2308a以及深电介质插塞对2308b和2308c。在一个这样的实施例中,如所示,浅电介质插塞2308c在大致等于衬底2304内的半导体鳍状物2302的深度的深度处,而深电介质插塞对2308b和2308c在低于衬底2304内的半导体鳍状物2302的深度的深度处。
[0281]
再次参考图23a,这样的布置可以在向衬底2304中蚀刻得更深的沟槽中在鳍状物修剪隔离(fti)器件上实现应力放大,以便提供相邻鳍状物2302之间的隔离。这样的方式可以被实施以提高芯片上晶体管的密度。在实施例中,在fti晶体管中放大了从插塞填充在晶体管上诱发的应力效应,因为应力转移发生于鳍状物中和衬底中这两者或正好在晶体管下面。
[0282]
在另一方面中,电介质插塞中包括的拉伸应力诱发氧化物层的宽度或量可以在半导体结构内或在形成于公共衬底上的架构内改变,例如,取决于器件为pmos器件还是nmos器件。作为示例,图23b示出了根据本公开的另一实施例的具有鳍状物端部应力诱发特征的另一半导体结构的截面图。参考图23b,在特定实施例中,nmos器件包括比对应pmos器件相对更多的拉伸应力诱发氧化物层2350。
[0283]
再次参考图23b,在实施例中,实施差异化插塞填充以在nmos和pmos中诱发适当应力。例如,nmos插塞2308d和2308e比pmos插塞2308f和2308g具有更大体积和更大宽度的拉伸应力诱发氧化物层2350。可以对插塞填充进行图案化以在nmos和pmos器件中诱发不同应力。例如,可以使用光刻图案化打开pmos器件(例如,加宽用于pmos器件的电介质插塞沟槽),在此时可以执行不同的填充选项以相对于pmos器件中的插塞填充区分nmos器件中的插塞填充。在示例性实施例中,减小pmos器件上的插塞中的可流动氧化物的体积能够减小诱发的拉伸应力。在一个这样的实施例中,压缩应力可能主要是来自例如压缩应力源极和漏极区。在其它实施例中,使用不同的插塞衬层或不同的填充材料提供了可调节应力控制。
[0284]
如上所述,要认识到,多插塞应力效应能够有益于nmos晶体管(例如,拉伸沟道应力)和pmos晶体管(例如,压缩沟道应力)。根据本公开的实施例,半导体鳍状物是单轴应力半导体鳍状物。单轴应力半导体鳍状物可以利用拉伸应力或利用压缩应力在单轴上受到应力。例如,根据本公开的一个或多个实施例,图24a示出了具有拉伸单轴应力的鳍状物的倾斜视图,而图24b示出了具有压缩单轴应力的鳍状物的倾斜视图。
[0285]
参考图24a,半导体鳍状物2400具有设置于其中的离散沟道区(c)。源极区(s)和漏极区(d)设置于半导体鳍状物2400中,在沟道区(c)的任一侧上。半导体鳍状物2400的离散沟道区具有沿单轴拉伸应力的方向(彼此相背指向并且朝向端部2402和2404的箭头)从源极区(s)到漏极区(d)的电流流动方向。
[0286]
参考图24b,半导体鳍状物2450具有设置于其中的离散沟道区(c)。源极区(s)和漏极区(d)设置于半导体鳍状物2450中,在沟道区(c)的任一侧上。半导体鳍状物2450的离散沟道区具有沿单轴压缩应力的方向(彼此相向指向并且来自端部2452和2454的箭头)从源极区(s)到漏极区(d)的电流流动方向。因此,可以实施本文描述的实施例以改善晶体管迁移率和驱动电流,从而允许更快地执行电路和芯片。
[0287]
在另一方面中,在制造栅极线切口(多切口)的位置和制造鳍状物修剪隔离(fti)局部鳍状物切口的位置之间可能有关系。在实施例中,仅在制造多切口的位置中制造fti局部切口。然而,在一个这样的实施例中,未必在制造多切口的每个位置处制造fti切口。
[0288]
图25a和图25b示出了根据本公开的实施例的表示对用于在选择栅极线切口位置中形成局部隔离结构的具有单栅极间隔的鳍状物的图案化的方法中的各种操作的平面图。
[0289]
参考图25a,制造集成电路结构的方法包括形成多个鳍状物2502,多个鳍状物2502中的个体鳍状物沿第一方向2504具有最长尺寸。多个栅极结构2506在多个鳍状物2502之上,栅极结构2506中的个体鳍状物沿与第一方向2504正交的第二方向2508具有最长尺寸。在实施例中,栅极结构2506是例如由多晶硅制造的牺牲或虚设栅极线。在一个实施例中,多个鳍状物2502是硅鳍状物,并与下方硅衬底的一部分是连续的。
[0290]
再次参考图25a,电介质材料结构2510形成在多个栅极结构2506中的相邻栅极结构之间。去除多个栅极结构2506中的两个栅极结构的部分2512和2513,以暴露多个鳍状物2502中的每个的部分。在实施例中,去除栅极结构2506中的两个栅极结构的部分2512和2513涉及使用比栅极结构2506的部分2512和2513中的每个的宽度更宽的光刻窗口。去除位置2512处的多个鳍状物2502中的每个的暴露部分以形成切口区2520。在实施例中,使用干法或等离子体蚀刻工艺去除多个鳍状物2502中的每个的暴露部分。然而,将位置2513处的多个鳍状物2502中的每个的暴露部分掩蔽以免被去除。在实施例中,区域2512/2520代表多切口和fti局部鳍状物切口两者。然而,位置2513仅代表多切口。
[0291]
参考图25b,利用诸如电介质插塞的绝缘结构2530填充多切口和fti局部鳍状物切口的位置2512/2520和多切口的位置2513。下文描述示例性绝缘结构或“多切口”或“插塞”结构。
[0292]
图26a-图26c示出了根据本公开的实施例的针对图25b的结构的各个区域的用于多切口和fti局部鳍状物切口位置以及仅多切口位置的电介质插塞的各种可能性的截面图。
[0293]
参考图26a,沿图25b的结构的a-a’轴示出了位置2513处的电介质插塞2530的部分
2600a的截面图。电介质插塞2530的部分2600a被示为在未切割鳍状物2502上并在电介质材料结构2510之间。
[0294]
参考图26b,沿图25b的结构的b-b’轴示出了位置2512处的电介质插塞2530的部分2600b的截面图。电介质插塞2530的部分2600b被示为在切割鳍状物位置2520上并在电介质材料结构2510之间。
[0295]
参考图26c,沿图25b的结构的c-c’轴示出了位置2512处的电介质插塞2530的部分2600c的截面图。电介质插塞2530的部分2600c被示为在鳍状物2502之间和电介质材料结构2510之间的沟槽隔离结构2602上。在上文描述了其示例的实施例中,沟槽隔离结构2602包括第一绝缘层2602a、第二绝缘层2602b和第二绝缘层2602b上的绝缘填充材料2602c。
[0296]
共同参考图25a、图25b和图26a-图26c,根据本公开的实施例,制造集成电路结构的方法包括形成多个鳍状物,多个鳍状物中的个体鳍状物沿第一方向。多个栅极结构形成于多个鳍状物之上,栅极结构中的个体栅极结构沿与第一方向正交的第二方向。电介质材料结构形成在多个栅极结构中的相邻栅极结构之间。去除多个栅极结构中的第一栅极结构的部分,以暴露多个鳍状物中的每者的第一部分。去除多个栅极结构中的第二栅极结构的部分,以暴露多个鳍状物中的每者的第二部分。去除多个鳍状物中的每个的暴露的第一部分,但不去除多个鳍状物中的每个的暴露的第二部分。在多个鳍状物的被去除的第一部分的位置中形成第一绝缘结构。第二绝缘结构形成在多个栅极结构中的第二个的被去除部分的位置中。
[0297]
在一个实施例中,去除多个栅极结构中的第一和第二栅极结构的部分涉及使用比多个栅极结构中的第一和第二栅极结构的部分中的每者的宽度更宽的光刻窗口。在一个实施例中,去除多个鳍状物中的每者的暴露的第一部分涉及蚀刻到小于多个鳍状物的高度的深度。在一个这样的实施例中,该深度大于多个鳍状物中的源极或漏极区的深度。在一个实施例中,多个鳍状物包括硅鳍状物,并与下方硅衬底的一部分是连续的。
[0298]
共同参考图16a、图25a、图25b和图26a-图26c,根据本公开的另一实施例,集成电路结构包括鳍状物,该鳍状物包括硅,该鳍状物沿第一方向具有最长尺寸。隔离结构在鳍状物的上部部分之上,隔离结构沿第一方向具有中心。第一栅极结构在鳍状物的上部部分之上,第一栅极结构沿与第一方向正交的第二方向具有最长尺寸。第一栅极结构的中心沿第一方向与隔离结构的中心间隔开一间距。第二栅极结构在鳍状物的上部部分之上,第二栅极结构沿第二方向具有最长尺寸。第二栅极结构的中心沿第一方向与第一栅极结构的中心间隔开所述间距。第三栅极结构在隔离结构的与第一和第二栅极结构相对的一侧的鳍状物的上部部分之上,第三栅极结构沿第二方向具有最长尺寸。第三栅极结构的中心沿第一方向与隔离结构的中心间隔开所述间距。
[0299]
在一个实施例中,第一栅极结构、第二栅极结构和第三栅极结构中的每个包括处于高k栅极电介质层的侧壁上和之间的栅极电极。在一个这样的实施例中,第一栅极结构、第二栅极结构和第三栅极结构中的每个还包括处于栅极电极上以及高k栅极电介质层的侧壁上的绝缘帽。
[0300]
在一个实施例中,第一外延半导体区在第一栅极结构和隔离结构之间的鳍状物的上部部分上。第二外延半导体区在第一栅极结构和第二栅极结构之间的鳍状物的上部部分上。第三外延半导体区在第三栅极结构和隔离结构之间的鳍状物的上部部分上。在一个这
样的实施例中,第一、第二和第三外延半导体区包括硅和锗。在另一个这样的实施例中,第一、第二和第三外延半导体区包括硅。
[0301]
共同参考图16a、图25a、图25b和图26a-图26c,根据本公开的另一实施例,集成电路结构包括处于半导体鳍状物对之间的浅沟槽隔离(sti)结构,该sti结构沿第一方向具有最长尺寸。隔离结构在sti结构上,隔离结构沿第一方向具有中心。第一栅极结构在sti结构上,第一栅极结构沿与第一方向正交的第二方向具有最长尺寸。第一栅极结构的中心沿第一方向与隔离结构的中心间隔开一间距。第二栅极结构在sti结构上,第二栅极结构沿第二方向具有最长尺寸。第二栅极结构的中心沿第一方向与第一栅极结构的中心间隔开所述间距。第三栅极结构在sti结构上,在隔离结构的与第一和第二栅极结构相对的一侧,第三栅极结构沿第二方向具有最长尺寸。第三栅极结构的中心沿第一方向与隔离结构的中心间隔开所述间距。
[0302]
在一个实施例中,第一栅极结构、第二栅极结构和第三栅极结构中的每个包括处于高k栅极电介质层的侧壁上和之间的栅极电极。在一个这样的实施例中,第一栅极结构、第二栅极结构和第三栅极结构中的每个还包括处于栅极电极上以及高k栅极电介质层的侧壁上的绝缘帽。在一个实施例中,半导体鳍状物对是硅鳍状物对。
[0303]
在另一方面中,无论是多切口和fti局部鳍状物切口一起还是仅多切口,用于填充切口位置的绝缘结构或电介质插塞都可以横向延伸到对应切口栅极线的电介质间隔体中,甚至超过对应切口栅极线的电介质间隔体。
[0304]
在沟槽接触部形状不受多切口电介质插塞影响的第一示例中,图27a示出了根据本公开的实施例的具有带有延伸到栅极线的电介质间隔体中的电介质插塞的栅极线切口的集成电路结构的平面图和对应截面图。
[0305]
参考图27a,集成电路结构2700a包括沿第一方向2703具有最长尺寸的第一硅鳍状物2702。第二硅鳍状物2704沿第一方向2703具有最长尺寸。绝缘体材料2706在第一硅鳍状物2702和第二硅鳍状物2704之间。栅极线2708沿第二方向2709在第一硅鳍状物2702之上和第二硅鳍状物2704之上,第二方向2709与第一方向2703正交。栅极线2708具有第一侧2708a和第二侧2708b,并具有第一端部2708c和第二端部2708d。栅极线2708在绝缘体材料2706之上、在栅极线2708的第一端部2708c和第二端部2708d之间具有不连续性2710。不连续性2710被电介质插塞2712填充。
[0306]
沟槽接触部2714在栅极线2708的第一侧2708a处沿第二方向2709处于第一硅鳍状物2702之上以及第二硅鳍状物2704之上。沟槽接触部2714在与电介质插塞2712横向相邻的位置2715处在绝缘体材料2706之上连续。电介质间隔体2716横向介于沟槽接触部2714和栅极线2708的第一侧2708a之间。电介质间隔体2716沿栅极线2708的第一侧2708a和电介质插塞2712是连续的。电介质间隔体2716具有的与电介质插塞2712横向相邻的宽度(w2)比与栅极线2708的第一侧2708a横向相邻的宽度(w1)更薄。
[0307]
在一个实施例中,第二沟槽接触部2718在栅极线2708的第二侧2708b处沿第二方向2709处于第一硅鳍状物2702之上以及第二硅鳍状物2704之上。第二沟槽接触部2718在与电介质插塞2712横向相邻的位置2719处在绝缘体材料2706之上连续。在一个这样的实施例中,第二电介质间隔体2720横向介于第二沟槽接触部2718和栅极线2708的第二侧2708b之间。第二电介质间隔体2720沿栅极线2708的第二侧2708b和电介质插塞2712是连续的。第二
电介质间隔体具有的与电介质插塞2712横向相邻的宽度比与栅极线2708的第二侧2708b横向相邻的宽度更薄。
[0308]
在一个实施例中,栅极线2708包括高k栅极电介质层2722、栅极电极2724和电介质帽层2726。在一个实施例中,电介质插塞2712包括与电介质间隔体2714相同的材料,但与电介质间隔体2714分立。在一个实施例中,电介质插塞2712包括与电介质间隔体2714不同的材料。
[0309]
在沟槽接触部形状受多切口电介质插塞影响的第二示例中,图27b示出了根据本公开的另一实施例的具有带有延伸到栅极线的电介质间隔体之外的电介质插塞的栅极线切口的集成电路结构的平面图和对应截面图。
[0310]
参考图27b,集成电路结构2700b包括沿第一方向2753具有最长尺寸的第一硅鳍状物2752。第二硅鳍状物2754沿第一方向2753具有最长尺寸。绝缘体材料2756在第一硅鳍状物2752和第二硅鳍状物2754之间。栅极线2758沿第二方向2759在第一硅鳍状物2752之上和第二硅鳍状物2754之上,第二方向2759与第一方向2753正交。栅极线2758具有第一侧2758a和第二侧2758b,并具有第一端部2758c和第二端部2758d。栅极线2758在绝缘体材料2756之上、在栅极线2758的第一端部2758c和第二端部2758d之间具有不连续性2760。不连续性2760被电介质插塞2762填充。
[0311]
沟槽接触部2764在栅极线2758的第一侧2758a处沿第二方向2759处于第一硅鳍状物2752之上以及第二硅鳍状物2754之上。沟槽接触部2764在与电介质插塞2762横向相邻的位置2765处在绝缘体材料2756之上连续。电介质间隔体2766横向介于沟槽接触部2764和栅极线2758的第一侧2758a之间。电介质间隔体2766沿栅极线2758的第一侧2758a但不沿电介质插塞2762,导致不连续的电介质间隔体2766。沟槽接触部2764具有的与电介质插塞2762横向相邻的宽度(w1)比与电介质间隔体2766横向相邻的宽度(w2)更薄。
[0312]
在一个实施例中,第二沟槽接触部2768在栅极线2758的第二侧2758b处沿第二方向2759在第一硅鳍状物2752之上并在第二硅鳍状物2754之上。第二沟槽接触部2768在与电介质插塞2762横向相邻的位置2769处在绝缘体材料2756之上连续。在一个这样的实施例中,第二电介质间隔体2770横向介于第二沟槽接触部2768和栅极线2758的第二侧2758b之间。第二电介质间隔体2770沿栅极线2758的第二侧2758b但不沿电介质插塞2762,导致不连续的电介质间隔体2770。第二沟槽接触部2768具有的与电介质插塞2762横向相邻的宽度比与第二电介质间隔体2770横向相邻的宽度更薄。
[0313]
在一个实施例中,栅极线2758包括高k电介质层2772、栅极电极2774和电介质帽层2776。在一个实施例中,电介质插塞2762包括与电介质间隔体2764相同的材料但与电介质间隔体2764分立。在一个实施例中,电介质插塞2762包括与电介质间隔体2764不同的材料。
[0314]
在用于多切口位置的电介质插塞从插塞的顶部到插塞的底部逐渐变细的第三示例中,图28a-图28f示出了根据本公开的另一实施例的制造具有带有电介质插塞的栅极线切口的集成电路结构的方法中的各种操作的截面图,所述电介质插塞具有延伸到栅极线的电介质间隔体之外的上部部分和延伸到栅极线电介质间隔体中的下部部分。
[0315]
参考图28a,多个栅极线2802形成在结构2804之上,例如在半导体鳍状物之间的沟槽隔离结构之上。在一个实施例中,栅极线2802中的每者是牺牲或虚设栅极线,例如,具有虚设栅极电极2806和电介质帽2808。这样的牺牲或虚设栅极线的部分可以稍后在替换栅极
工艺中被替换,例如,在下述的电介质插塞形成之后被替换。电介质间隔体2810沿栅极线2802的侧壁。诸如电介质间层的电介质材料2812在栅极线2802之间。掩模2814被形成并光刻图案化,以暴露栅极线2802之一的一部分。
[0316]
参考图28b,在掩模2814就位时,利用蚀刻工艺去除中心栅极线2802。然后去除掩模2814。在实施例中,蚀刻工艺腐蚀被去除的栅极线2802的电介质间隔体2810的部分,从而形成减小的电介质间隔体2816。此外,被掩模2814暴露的电介质材料2812的上部部分在蚀刻工艺中被腐蚀,从而形成腐蚀的电介质材料部分2818。在特定实施例中,诸如残余多晶硅的残余虚设栅极材料2820保留在该结构中,作为未完成的蚀刻工艺的人工制品。
[0317]
参考图28c,硬掩模2822形成在图28b的结构之上。硬掩模2822可以与图28b的结构的上部部分共形,尤其是与被腐蚀的电介质材料部分2818共形。
[0318]
参考图28d,例如利用蚀刻工艺去除残余虚设栅极材料2820,其在化学上可以类似于用于去除栅极线2802中的中心栅极线的蚀刻工艺。在实施例中,硬掩模2822保护被腐蚀的电介质材料部分2818以免在去除残余虚设栅极材料2820期间被进一步腐蚀。
[0319]
参考图28e,去除硬掩模2822。在一个实施例中,去除硬掩模2822而不会或基本上不会进一步腐蚀被腐蚀的电介质材料部分2818。
[0320]
参考图28f,电介质插塞2830形成在图28e的结构的开口中。电介质插塞2830的上部部分在被腐蚀的电介质材料部分2818之上,例如,有效地超过初始间隔体2810。电介质插塞2830的下部部分与减小的电介质间隔体2816相邻,例如,有效地进入但不超过初始间隔体2810。结果,电介质插塞2830具有锥形轮廓,如图28f中所示。应当认识到,可以从上文针对其它多切口或fti插塞或鳍状物端部应力源所述的材料和工艺制造电介质插塞2830。
[0321]
在另一方面中,占位体栅极结构或虚设栅极结构的部分可以保持在永久栅极结构下面的沟槽隔离区之上,在替换栅极工艺期间作为保护结构以免沟槽隔离区被腐蚀。例如,图29a-图29c示出了根据本公开的实施例的在永久栅极堆叠体的底部的部分处具有残余虚设栅极材料的集成电路结构的平面图和对应截面图。
[0322]
参考图29a-图29c,集成电路结构包括从半导体衬底2904突出的鳍状物2902,例如硅鳍状物。鳍状物2902具有下鳍状物部分2902b和上鳍状物部分2902a。上鳍状物部分2902a具有顶部2902c和侧壁2902d。隔离结构2906围绕下鳍状物部分2902b。隔离结构2906包括具有顶表面2907的绝缘材料2906c。半导体材料2908在绝缘材料2906c的顶表面2907的一部分上。半导体材料2908与鳍状物2902分开。
[0323]
栅极电介质层2910在上鳍状物部分2902a的顶部2902c之上,并与上鳍状物部分2902a的侧壁2902d横向相邻。栅极电介质层2910进一步在绝缘材料2906c的顶表面2907的部分上的半导体材料2908上。诸如鳍状物2902的氧化部分的居间额外栅极电介质层2911可以在上鳍状物部分2902a的顶部2902c之上的栅极电介质层2910与上鳍状物部分2902a的侧壁2902d之间并与侧壁2902d横向相邻。栅极电极2912在上鳍状物部分2902a的顶部2902c之上的栅极电介质层2910之上,并与上鳍状物部分2902a的侧壁2902d横向相邻。栅极电极2912进一步在绝缘材料2906c的顶表面2907的部分上的半导体材料2908上的栅极电介质层2910之上。第一源极或漏极区2916与栅极电极2912的第一侧相邻,并且第二源极或漏极区2918与栅极电极2912的第二侧相邻,第二侧与第一侧相对。在上文描述了其示例的实施例中,隔离结构2906包括第一绝缘层2906a、第二绝缘层2906b和绝缘材料2606c。
[0324]
在一个实施例中,绝缘材料2906c的顶表面2907的部分上的半导体材料2908是或包括多晶硅。在一个实施例中,绝缘材料2906c的顶表面2907具有凹形下陷,并且如所示,半导体材料2908在该凹形下陷中。在一个实施例中,隔离结构2906包括沿绝缘材料2906c的底部和侧壁的第二绝缘材料(2906a或2906b或2906a/2906b两者)。在一个这样的实施例中,第二绝缘材料(2906a或2906b或2906a/2906b两者)的沿绝缘材料2906c的侧壁的部分具有处于绝缘材料2906的最上表面上方的顶表面,如所示。在一个实施例中,第二绝缘材料(2906a或2906b或2906a/2906b两者)的顶表面高于半导体材料2908的最上表面或与其共面。
[0325]
在一个实施例中,绝缘材料2906c的顶表面2907的部分上的半导体材料2908不延伸超过栅极电介质层2910。亦即,从平面图的角度讲,半导体材料2908的位置限于被栅极堆叠体2912/2910覆盖的区域。在一个实施例中,第一电介质间隔体2920沿栅极电极2912的第一侧。第二电介质间隔体2922沿栅极电极2912的第二侧。在一个这样的实施例中,栅极电介质层2910还沿第一电介质间隔体2920和第二电介质间隔体2922的侧壁延伸,如图29b所示。
[0326]
在一个实施例中,栅极电极2912包括共形导电层2912a(例如,功函数层)。在一个这样的实施例中,功函数层2912a包括钛和氮。在另一个实施例中,功函数层2912a包括钛、铝、碳和氮。在一个实施例中,栅极电极2912还包括功函数层2912a之上的导电填充金属层2912b。在一个这样的实施例中,导电填充金属层2912b包括钨。在特定实施例中,导电填充金属层2912b包括95或更大原子百分比的钨以及0.1到2原子百分比的氟。在一个实施例中,绝缘帽2924在栅极电极2912上并可以在栅极电介质层2910之上延伸,如图29b所示。
[0327]
图30a-图30d示出了根据本公开的另一实施例的制造在永久栅极堆叠体的底部的部分处具有残余虚设栅极材料的集成电路结构的方法中的各种操作的截面图。透视图沿着图29c的结构的a-a’轴的部分。
[0328]
参考图30a,制造集成电路结构的方法包括从半导体衬底3002形成鳍状物3000。鳍状物3000具有下鳍状物部分3000a和上鳍状物部分3000b。上鳍状物部分3000b具有顶部3000c和侧壁3000d。隔离结构3004围绕下鳍状物部分3000a。隔离结构3004包括具有顶表面3005的绝缘材料3004c。占位体栅极电极3006在上鳍状物部分3000b的顶部3000c之上,并与上鳍状物部分3000b的侧壁3000d横向相邻。占位体栅极电极3006包括半导体材料。
[0329]
尽管从图30a的角度未示出(但图29c中示出了针对其的位置),可以与占位体栅极电极3006的第一侧相邻地形成第一源极或漏极区,并且可以与占位体栅极电极3006的第二侧相邻地形成第二源极或漏极区,第二侧与第一侧相对。此外,栅极电介质间隔体可以沿占位体栅极电极3006的侧壁形成,并且可以与占位体栅极电极3006横向相邻地形成层间电介质(ild)层。
[0330]
在一个实施例中,占位体栅极电极3006是或包括多晶硅。在一个实施例中,隔离结构3004的绝缘材料3004c的顶表面3005具有凹形下陷,如所示。占位体栅极电极3006的一部分在该凹形下陷中。在一个实施例中,隔离结构3004包括沿绝缘材料3004c的底部和侧壁的第二绝缘材料(3004a或3004b或3004a/3004b两者),如所示。在一个这样的实施例中,第二绝缘材料(3004a或3004b或3004a/3004b两者)沿绝缘材料3004c的侧壁的部分具有在绝缘材料3004c的顶表面3005的至少一部分上方的顶表面。在一个实施例中,第二绝缘材料(3004a或3004b或3004a/3004b两者)的顶表面处于占位体栅极电极3006的一部分的最低表面上方。
[0331]
参考图30b,例如,沿图30a的方向3008从上鳍状物部分3000b的顶部3000c和侧壁3000d蚀刻占位体栅极电极3006。蚀刻工艺可以被称为替换栅极工艺。在实施例中,蚀刻或替换栅极工艺未完成,并在隔离结构3004的绝缘材料3004c的顶表面3005的至少一部分上留下占位体栅极电极3006的一部分3012。
[0332]
参考图30a和图30b,在实施例中,在形成占位体栅极电极3006之前形成的上鳍状物部分3000b的氧化部分3010在蚀刻工艺期间被保留,如所示。然而,在另一个实施例中,在形成占位体栅极电极3006之前形成占位体栅极电介质层,并在蚀刻占位体栅极电极之后去除占位体栅极电介质层。
[0333]
参考图30c,栅极电介质层3014形成于上鳍状物部分3000b的顶部3000c之上,并与上鳍状物部分3000b的侧壁3000d横向相邻。在一个实施例中,栅极电介质层3014形成于上鳍状物部分3000b的顶部3000c之上的上鳍状物部分3000b的氧化部分3010上,并与上鳍状物部分3000b的侧壁3000d横向相邻,如所示。在另一个实施例中,在蚀刻占位体栅极电极之后去除上鳍状物部分3000b的氧化部分3010的情况下,栅极电介质层3014直接形成于上鳍状物部分3000b上、在上鳍状物部分3000b的顶部3000c之上,并与上鳍状物部分3000b的侧壁3000d横向相邻。在任一种情况下,在实施例中,栅极电介质层3014进一步形成在隔离结构3004的绝缘材料3004c的顶表面3005的部分上的占位体栅极电极3006的部分3012上。
[0334]
参考图30d,永久栅极电极3016形成于上鳍状物部分3000b的顶部3000c之上的栅极电介质层3014之上,并与上鳍状物部分3000b的侧壁3000d横向相邻。永久栅极电极3016进一步在绝缘材料3004c的顶表面3005的部分上的占位体栅极电极3006的部分3012上的栅极电介质层3014之上。
[0335]
在一个实施例中,形成永久栅极电极3016包括形成功函数层3016a。在一个这样的实施例中,功函数层3016a包括钛和氮。在另一个这样的实施例中,功函数层3016a包括钛、铝、碳和氮。在一个实施例中,形成永久栅极电极3016还包括形成在功函数层3016a之上形成的导电填充金属层3016b。在一个这样的实施例中,形成导电填充金属层3016b包括使用原子层沉积(ald)利用六氟化钨(wf6)前体形成含钨膜。在实施例中,绝缘栅极帽层3018形成在永久栅极电极3016上。
[0336]
在另一方面中,本公开的一些实施例包括栅极电介质结构中的用于栅极电极的非晶高k层。在其它实施例中,在栅极电介质结构中包括用于栅极电极的部分或完全结晶高k层。在包括部分或全部结晶高k层的一个实施例中,栅极电介质结构是铁电(fe)栅极电介质结构。在包括部分或全部结晶高k层的另一个实施例中,栅极电介质结构是反铁电(afe)栅极电介质结构。
[0337]
在实施例中,本文描述了增加器件沟道中的电荷并通过采用铁电或反铁电栅极氧化物而改善亚阈值行为的方式。铁电和反铁电栅极氧化物能够增加沟道电荷以实现更高电流,并且还能够做出更陡峭的导通行为。
[0338]
为了提供语境,基于铪或锆(hf或zr)的铁电和反铁电(fe或afe)材料典型比诸如钛酸铅锆(pzt)的铁电材料薄得多,这样一来,可以与高度缩放的逻辑技术兼容。fe或afe材料有两种特征能够改善逻辑晶体管的性能:(1)通过fe或afe极化实现的沟道中的较高的电荷,以及(2)由于锐利的fe或afe转变而导致的更陡峭的导通行为。这样的性质能够通过增大电流并减小亚阈值摆动(ss)而改善晶体管性能。
[0339]
图31a示出了根据本公开的实施例的具有铁电或反铁电栅极电介质结构的半导体器件的截面图。
[0340]
参考图31a,集成电路结构3100包括衬底3104上方的栅极结构3102。在一个实施例中,栅极结构3102在包括诸如单晶硅的单晶材料的半导体沟道结构3106上方或之上。栅极结构3102包括半导体沟道结构3106之上的栅极电介质和栅极电介质结构之上的栅极电极。栅极电介质包括铁电或反铁电多晶材料层3102a。栅极电极具有处于铁电或反铁电多晶材料层3102a上的导电层3102b。导电层3102b包括金属,并可以是阻挡层、功函数层或增强fe或afe层的结晶性的模板层。一个或多个栅极填充层3102c在导电层3102b上或上方。源极区3108和漏极区3110在栅极结构3102的相对侧上。源极或漏极接触部3112在位置3149处电连接到源极区3108和漏极区3110,并由层间电介质层3114或栅极电介质间隔体3116与栅极结构3102间隔开。在图31a的示例中,源极区3108和漏极区3110是衬底3104的区域。在实施例中,源极或漏极接触部3112包括阻挡层3112a和导电沟槽填充材料3112b。在一个实施例中,铁电或反铁电多晶材料层3102a沿电介质间隔体3116延伸,如图31a所示。
[0341]
在实施例中,并且在整个公开中适用的是,铁电或反铁电多晶材料层3102a是铁电多晶材料层。在一个实施例中,铁电多晶材料层是包括zr和hf的氧化物,其具有50:50的zr:hr比或具有更多的zr。铁电效应可以随着正交结晶性增大而增大。在一个实施例中,铁电多晶材料层具有至少80%的正交结晶性。
[0342]
在实施例中,并且在整个公开中适用的是,铁电或反铁电多晶材料层3102a是反铁电多晶材料层。在一个实施例中,反铁电多晶材料层是包括zr和hf的氧化物,其具有80:20的zr:hr比或具有更多的zr,甚至高达100%的zr,zro2。在一个实施例中,反铁电多晶材料层具有至少80%的四角形结晶性。
[0343]
在实施例中,并且在整个公开中适用的是,栅极堆叠体3102的栅极电介质在铁电或反铁电多晶材料层3102a与半导体沟道结构3106之间还包括非晶电介质层3103,例如原生氧化硅层、高k电介质(hfox、al2o3等)或氧化物和高k的组合。在实施例中,并且在整个公开中适用的是,铁电或反铁电多晶材料层3102a具有1纳米到8纳米的范围内的厚度。在实施例中,并且在整个公开中适用的是,铁电或反铁电多晶材料层3102a具有大致在20纳米或更大的范围内的晶粒尺寸。
[0344]
在实施例中,在例如通过原子层沉积(ald)沉积铁电或反铁电多晶材料层3102a之后,在铁电或反铁电多晶材料层3102a上形成包括金属的层(例如,层3102b,例如5-10纳米的氮化钛或氮化钽或钨)。然后执行退火。在一个实施例中,在1毫秒-30分钟的范围内的时间段内执行退火。在一个实施例中,在500-1100摄氏度的范围内的温度下执行退火。
[0345]
图31b示出了根据本公开的另一实施例的具有铁电或反铁电栅极电介质结构的另一半导体器件的截面图。
[0346]
参考图31b,集成电路结构3150包括衬底3154上方的栅极结构3152。在一个实施例中,栅极结构3152在包括诸如单晶硅的单晶材料的半导体沟道结构3156上方或之上。栅极结构3152包括半导体沟道结构3156之上的栅极电介质和栅极电介质结构之上的栅极电极。栅极电介质包括铁电或反铁电多晶材料层3152a,并且还可以包括非晶氧化物层3153。栅极电极具有处于铁电或反铁电多晶材料层3152a上的导电层3152b。导电层3152b包括金属,并可以是阻挡层或功函数层。一个或多个栅极填充层3152c在导电层3152b上或上方。升高的
源极区3158和升高的漏极区3160(例如与半导体沟道结构3156不同的半导体材料的区域)在栅极结构3152的相对侧上。源极或漏极接触部3162在位置3199处电连接到源极区3158和漏极区3160,并由层间电介质层3164或栅极电介质间隔体3166之一或这两者与栅极结构3152间隔开。在实施例中,源极或漏极接触部3162包括阻挡层3162a和导电沟槽填充材料3162b。在一个实施例中,铁电或反铁电多晶材料层3152a沿电介质间隔体3166延伸,如图31b所示。
[0347]
图32a示出了根据本公开的另一实施例的半导体鳍状物对之上的多个栅极线的平面图。
[0348]
参考图32a,多个有源栅极线3204形成在多个半导体鳍状物3200之上。虚设栅极线3206在多个半导体鳍状物3200的端部。栅极线3204/3206之间的间隔3208是可以定位沟槽接触部以向源极或漏极区(例如源极或漏极区3251、3252、3253和3254)提供导电接触部的位置。在实施例中,多个栅极线3204/3206的图案或多个半导体鳍状物3200的图案被描述为栅格结构。在一个实施例中,栅格状图案包括以恒定间距间隔开并具有恒定宽度的多个栅极线3204/3206、或多个半导体鳍状物3200的图案、或这两者。
[0349]
图32b示出了根据本公开的实施例的沿图32a的a-a’轴截取的截面图。
[0350]
参考图32b,多个有源栅极线3264形成在衬底3260上方形成的半导体鳍状物3262之上。虚设栅极线3266在半导体鳍状物3262的端部。电介质层3270在虚设栅极线3266的外部。沟槽接触部材料3297在有源栅极线3264之间以及虚设栅极线3266和有源栅极线3264之间。嵌入式源极或漏极结构3268在半导体鳍状物3262中,处于有源栅极线3264之间以及虚设栅极线3266和有源栅极线3264之间。
[0351]
有源栅极线3264包括栅极电介质结构3272、功函数栅极电极部分3274和填充栅极电极部分3276以及电介质帽层3278。电介质间隔体3280沿有源栅极线3264和虚设栅极线3266的侧壁排列。在实施例中,栅极电介质结构3272包括铁电或反铁电多晶材料层3298。在一个实施例中,栅极电介质结构3272还包括非晶氧化物层3299。
[0352]
在另一方面中,相同导电类型(例如,n型或p型)的器件针对相同导电类型可以具有差异化栅极电极堆叠体。然而,出于对比目的,具有相同导电类型的器件可以具有基于经调制的掺杂的差异化电压阈值(vt)。
[0353]
图33a示出了根据本公开的实施例的nmos器件对和pmos器件对的截面图,nmos器件对具有基于经调制的掺杂的差异化电压阈值,pmos器件对具有基于经调制的掺杂的差异化电压阈值。
[0354]
参考图33a,第一nmos器件3302在半导体有源区3300之上(例如在硅鳍状物或衬底之上)与第二nmos器件3304相邻。第一nmos器件3302和第二nmos器件3304都包括栅极电介质层3306、第一栅极电极导电层3308(例如功函数层)、以及栅极电极导电填充3310。在实施例中,第一nmos器件3302和第二nmos器件3304的第一栅极电极导电层3308具有相同材料和相同厚度,从而具有相同功函数。然而,第一nmos器件3302具有比第二nmos器件3304更低的vt。在一个这样的实施例中,第一nmos器件3302被称为“标准vt”器件,并且第二nmos器件3304被称为“高vt”器件。在实施例中,通过在第一nmos器件3302和第二nmos器件3304的区域3312处使用经调制的掺杂或差异化注入掺杂来实现差异化vt。
[0355]
再次参考图33a,第一pmos器件3322在半导体有源区3320之上(例如在硅鳍状物或
衬底之上)与第二pmos器件3324相邻。第一pmos器件3322和第二pmos器件3324都包括栅极电介质层3326、第一栅极电极导电层3328(例如功函数层)、以及栅极电极导电填充3330。在实施例中,第一pmos器件3322和第二pmos器件3324的第一栅极电极导电层3328具有相同材料和相同厚度,从而具有相同功函数。然而,第一pmos器件3322具有比第二pmos器件3324更高的vt。在一个这样的实施例中,第一pmos器件3322被称为“标准vt”器件,并且第二pmos器件3324被称为“低vt”器件。在实施例中,通过在第一pmos器件3322和第二pmos器件3324的区域3332处使用经调制的掺杂或差异化注入掺杂来实现差异化vt。
[0356]
与图33a相比,图33b示出了根据本公开的另一实施例的nmos器件对和pmos器件对的截面图,nmos器件对具有基于差异化栅极电极结构的差异化电压阈值,pmos器件对具有基于差异化栅极电极结构的差异化电压阈值。
[0357]
参考图33b,第一nmos器件3352在半导体有源区3350之上(例如在硅鳍状物或衬底之上)与第二nmos器件3354相邻。第一nmos器件3352和第二nmos器件3354都包括栅极电介质层3356。然而,第一nmos器件3352和第二nmos器件3354具有结构上不同的栅极电极堆叠体。具体而言,第一nmos器件3322包括诸如第一功函数层的第一栅极电极导电层3358、以及栅极电极导电填充3360。第二nmos器件3354包括诸如第二功函数层的第二栅极电极导电层3359、第一栅极电极导电层3358和栅极电极导电填充3360。第一nmos器件3352具有比第二nmos器件3354更低的vt。在一个这样的实施例中,第一nmos器件3352被称为“标准vt”器件,并且第二nmos器件3354被称为“高vt”器件。在实施例中,通过为相同导电类型器件使用差异化栅极堆叠体来实现差异化vt。
[0358]
再次参考图33b,第一pmos器件3372在半导体有源区3370之上(例如在硅鳍状物或衬底之上)与第二pmos器件3374相邻。第一pmos器件3372和第二pmos器件3374都包括栅极电介质层3376。然而,第一pmos器件3372和第二pmos器件3374具有结构上不同的栅极电极堆叠体。具体而言,第一pmos器件3372包括具有第一厚度的栅极电极导电层3378a(例如功函数层)、以及栅极电极导电填充3380。第二pmos器件3374包括具有第二厚度的栅极电极导电层3378b、以及栅极电极导电填充3380。在一个实施例中,栅极电极导电层3378a和栅极电极导电层3378b具有相同组分,但栅极电极导电层3378b的厚度(第二厚度)大于栅极电极导电层3378a的厚度(第一厚度)。第一pmos器件3372具有比第二pmos器件3374更高的vt。在一个这样的实施例中,第一pmos器件3372被称为“标准vt”器件,并且第二pmos器件3374被称为“低vt”器件。在实施例中,通过为相同导电类型器件使用差异化栅极堆叠体来实现差异化vt。
[0359]
再次参考图33b,根据本公开的实施例,集成电路结构包括鳍状物(例如,硅鳍状物,例如3350)。应当认识到,鳍状物具有顶部(如所示)和侧壁(进入页面和离开页面)。栅极电介质层3356在鳍状物的顶部之上,并与鳍状物的侧壁横向相邻。器件3354的n型栅极电极在鳍状物的顶部之上的栅极电介质层3356之上并与鳍状物的侧壁横向相邻。n型栅极电极包括栅极电介质层3356上的p型金属层3359以及p型金属层3359上的n型金属层3358。将要认识到,第一n型源极或漏极区可以与栅极电极的第一侧(例如,进入页面)相邻,并且第二n型源极或漏极区可以与栅极电极的第二侧(例如,离开页面)相邻,第二侧与第一侧相对。
[0360]
在一个实施例中,p型金属层3359包括钛和氮,并且n型金属层3358包括钛、铝、碳和氮。在一个实施例中,p型金属层3359具有2-12埃的范围内的厚度,并且在具体实施例中,
p型金属层3359具有2-4埃的范围内的厚度。在一个实施例中,n型栅极电极还包括n型金属层3358上的导电填充金属层3360。在一个这样的实施例中,导电填充金属层3360包括钨。在特定实施例中,导电填充金属层3360包括95或更大原子百分比的钨以及0.1到2原子百分比的氟。
[0361]
再次参考图33b,根据本公开的另一实施例,集成电路结构包括具有电压阈值(vt)的第一n型器件3352、具有第一栅极电介质层3356的第一n型器件3352、以及第一栅极电介质层3356上的第一n型金属层3358。而且,还包括具有电压阈值(vt)的第二n型器件3354、具有第二栅极电介质层3356的第二n型器件3354、第二栅极电介质层3356上的p型金属层3359、以及p型金属层3359上的第二n型金属层3358。
[0362]
在一个实施例中,其中第二n型器件3354的vt比第一n型器件3352的vt更高。在一个实施例中,第一n型金属层3358和第二n型金属层3358具有相同的组分。在一个实施例中,第一n型金属层3358和第二n型金属层3358具有相同的厚度。在一个实施例中,n型金属层3358包括钛、铝、碳和氮,并且p型金属层3359包括钛和氮。
[0363]
再次参考图33b,根据本公开的另一实施例,集成电路结构包括具有电压阈值(vt)的第一p型器件3372、具有第一栅极电介质层3376的第一p型器件3372、以及第一栅极电介质层3376上的第一p型金属层3378a。第一p型金属层3378a具有厚度。第二p型器件3378也被包括并具有电压阈值(vt)。第二p型器件3374具有第二栅极电介质层3376和第二栅极电介质层3376上的第二p型金属层3378b。第二p型金属层3378b具有大于第一p型金属层3378a的厚度的厚度。
[0364]
在一个实施例中,第二p型器件3374的vt比第一p型器件3372的vt更低。在一个实施例中,第一p型金属层3378a和第二p型金属层3378b具有相同的组分。在一个实施例中,第一p型金属层3378a和第二p型金属层3378b都包括钛和氮。在一个实施例中,第一p型金属层3378a的厚度小于第一p型金属层3378a的材料的功函数饱和厚度。在一个实施例中,尽管未示出,但第二p型金属层3378b包括第二金属膜(例如,来自第一次沉积)上的第一金属膜(例如,来自第二次沉积),并且接缝在第一金属膜和第二金属膜之间。
[0365]
再次参考图33b,根据本公开的另一实施例,集成电路结构包括具有第一栅极电介质层3356的第一n型器件3352、以及第一栅极电介质层3356上的第一n型金属层3358。第二n型器件3354具有第二栅极电介质层3356、第二栅极电介质层3356上的第一p型金属层3359、以及第一p型金属层3359上的第二n型金属层3358。第一p型器件3372具有第三栅极电介质层3376和第三栅极电介质层3376上的第二p型金属层3378a。第二p型金属层3378a具有厚度。第二p型器件3374具有第四栅极电介质层3376和第四栅极电介质层3376上的第三p型金属层3378b。第三p型金属层3378b具有大于第二p型金属层3378a的厚度的厚度。
[0366]
在一个实施例中,第一n型器件3352具有电压阈值(vt),第二n型器件3354具有电压阈值(vt),并且第二n型器件3354的vt低于第一n型器件3352的vt。在一个实施例中,第一p型器件3372具有电压阈值(vt),第二p型器件3374具有电压阈值(vt),并且第二p型器件3374的vt低于第一p型器件3372的vt。在一个实施例中,第三p型金属层3378b包括第二金属膜上的第一金属膜,并且接缝在第一金属膜和第二金属膜之间。
[0367]
应当认识到,可以在同一结构中(例如在同一管芯上)包括针对相同导电类型的大于两种类型的vt器件。在第一示例中,图34a示出了根据本公开的实施例的三个nmos器件和
三个pmos器件的截面图,三个nmos器件具有基于差异化栅极电极结构和经调制的掺杂的差异化电压阈值,三个pmos器件具有基于差异化栅极电极结构和经调制的掺杂的差异化电压阈值。
[0368]
参考图34a,第一nmos器件3402在半导体有源区3400之上(例如硅鳍状物或衬底之上)与第二nmos器件3404和第三nmos器件3403相邻。第一nmos器件3402、第二nmos器件3404和第三nmos器件3403包括栅极电介质层3406。第一nmos器件3402和第三nmos器件3403具有结构上相同或类似的栅极电极堆叠体。然而,第二nmos器件3404具有在结构上与第一nmos器件3402和第三nmos器件3403不同的栅极电极堆叠体。具体而言,第一nmos器件3402和第三nmos器件3403包括第一栅极电极导电层3408(例如第一功函数层)以及栅极电极导电填充3410。第二nmos器件3404包括第二栅极电极导电层3409(例如第二功函数层)、第一栅极电极导电层3408和栅极电极导电填充3410。第一nmos器件3402具有比第二nmos器件3404更低的vt。在一个这样的实施例中,第一nmos器件3402被称为“标准vt”器件,并且第二nmos器件3404被称为“高vt”器件。在实施例中,通过为相同导电类型器件使用差异化栅极堆叠体来实现差异化vt。在实施例中,第三nmos器件3403具有与第一nmos器件3402和第二nmos器件3404的vt不同的vt,即使第三nmos器件3403的栅极电极结构与第一nmos器件3402的栅极电极结构相同。在一个实施例中,第三nmos器件的vt在第一nmos器件3402的vt和第二nmos器件3404的vt之间。在实施例中,第三nmos器件3403和第一nmos器件3402之间的差异化vt是通过在第三nmos器件3403的区域3412处使用经调制的掺杂或差异化注入掺杂来实现的。在一个这样的实施例中,第三n型器件3403具有的沟道区具有与第一n型器件3402的沟道区的掺杂剂浓度不同的掺杂剂浓度。
[0369]
再次参考图34a,第一pmos器件3422在半导体有源区3420之上(例如在硅鳍状物或衬底之上)与第二pmos器件3424和第三pmos器件3423相邻。第一pmos器件3422、第二pmos器件3424和第三pmos器件3423包括栅极电介质层3426。第一pmos器件3422和第三pmos器件3423具有结构上相同或类似的栅极电极堆叠体。然而,第二pmos器件3424具有在结构上与第一pmos器件3422和第三pmos器件3423不同的栅极电极堆叠体。具体而言,第一pmos器件3422和第三pmos器件3423包括具有第一厚度的栅极电极导电层3408a(例如功函数层)、以及栅极电极导电填充3430。第二pmos器件3424包括具有第二厚度的栅极电极导电层3428b、以及栅极电极导电填充3430。在一个实施例中,栅极电极导电层3428a和栅极电极导电层3428b具有相同组分,但栅极电极导电层3428b的厚度(第二厚度)大于栅极电极导电层3428a的厚度(第一厚度)。在实施例中,第一pmos器件3422具有比第二pmos器件3424更高的vt。在一个这样的实施例中,第一pmos器件3422被称为“标准vt”器件,并且第二pmos器件3424被称为“低vt”器件。在实施例中,通过为相同导电类型器件使用差异化栅极堆叠体来实现差异化vt。在实施例中,第三pmos器件3423具有与第一pmos器件3422的vt和第二pmos器件3424的vt不同的vt,即使第三pmos器件3423的栅极电极结构与第一pmos器件3422的栅极电极结构相同。在一个实施例中,第三pmos器件3423的vt在第一pmos器件3422的vt和第二pmos器件3424的vt之间。在实施例中,第三pmos器件3423和第一pmos器件3422之间的差异化vt是通过在第三pmos器件3423的区域3432处使用经调制的掺杂或差异化注入掺杂来实现的。在一个这样的实施例中,第三p型器件3423具有的沟道区具有与第一p型器件3422的沟道区的掺杂剂浓度不同的掺杂剂浓度。
[0370]
在第二示例中,图34b示出了根据本公开的另一实施例的三个nmos器件和三个pmos器件的截面图,三个nmos器件具有基于差异化栅极电极结构和经调制的掺杂的差异化电压阈值,三个pmos器件具有基于差异化栅极电极结构和经调制的掺杂的差异化电压阈值。
[0371]
参考图34b,第一nmos器件3452在半导体有源区3450之上(例如硅鳍状物或衬底之上)与第二nmos器件3454和第三nmos器件3453相邻。第一nmos器件3452、第二nmos器件3454和第三nmos器件3453包括栅极电介质层3456。第二nmos器件3454和第三nmos器件3453具有结构上相同或类似的栅极电极堆叠体。然而,第一nmos器件3452具有在结构上与第二nmos器件3454和第三nmos器件3453不同的栅极电极堆叠体。具体而言,第一nmos器件3452包括第一栅极电极导电层3458(例如第一功函数层)、以及栅极电极导电填充3460。第二nmos器件3454和第三nmos器件3453包括第二栅极电极导电层3459(例如第二功函数层)、第一栅极电极导电层3458和栅极电极导电填充3460。第一nmos器件3452具有比第二nmos器件3454更低的vt。在一个这样的实施例中,第一nmos器件3452被称为“标准vt”器件,并且第二nmos器件3454被称为“高vt”器件。在实施例中,通过为相同导电类型器件使用差异化栅极堆叠体来实现差异化vt。在实施例中,第三nmos器件3453具有与第一nmos器件3452的vt和第二nmos器件3454的vt不同的vt,即使第三nmos器件3453的栅极电极结构与第二nmos器件3454的栅极电极结构相同。在一个实施例中,第三nmos器件3453的vt在第一nmos器件3452的vt和第二nmos器件3454的vt之间。在实施例中,第三nmos器件3453和第二nmos器件3454之间的差异化vt是通过在第三nmos器件3453的区域3462处使用经调制的掺杂或差异化注入掺杂来实现的。在一个这样的实施例中,第三n型器件3453具有的沟道区具有与第二n型器件3454的沟道区的掺杂剂浓度不同的掺杂剂浓度。
[0372]
再次参考图34b,第一pmos器件3472在半导体有源区3470之上(例如在硅鳍状物或衬底之上)与第二pmos器件3474和第三pmos器件3473相邻。第一pmos器件3472、第二pmos器件3474和第三pmos器件3473包括栅极电介质层3476。第二pmos器件3474和第三pmos器件3473具有结构上相同或类似的栅极电极堆叠体。然而,第一pmos器件3472具有在结构上与第二pmos器件3474和第三pmos器件3473不同的栅极电极堆叠体。具体而言,第一pmos器件3472包括具有第一厚度的栅极电极导电层3478a(例如功函数层)、以及栅极电极导电填充3480。第二pmos器件3474和第三pmos器件3473包括具有第二厚度的栅极电极导电层3478b、以及栅极电极导电填充3480。在一个实施例中,栅极电极导电层3478a和栅极电极导电层3478b具有相同组分,但栅极电极导电层3478b的厚度(第二厚度)大于栅极电极导电层3478a的厚度(第一厚度)。在实施例中,第一pmos器件3472具有比第二pmos器件3474更高的vt。在一个这样的实施例中,第一pmos器件3472被称为“标准vt”器件,并且第二pmos器件3474被称为“低vt”器件。在实施例中,通过为相同导电类型器件使用差异化栅极堆叠体来实现差异化vt。在实施例中,第三pmos器件3473具有与第一pmos器件3472的vt和第二pmos器件3474的vt不同的vt,即使第三pmos器件3473的栅极电极结构与第二pmos器件3474的栅极电极结构相同。在一个实施例中,第三pmos器件3473的vt在第一pmos器件3472的vt和第二pmos器件3474的vt之间。在实施例中,第三pmos器件3473和第一pmos器件3472之间的差异化vt是通过在第三pmos器件3473的区域3482处使用经调制的掺杂或差异化注入掺杂来实现的。在一个这样的实施例中,第三p型器件3473具有的沟道区具有与第二p型器件3474
的沟道区的掺杂剂浓度不同的掺杂剂浓度。
[0373]
图35a-图35d示出了根据本公开的实施例的制造具有基于差异化栅极电极结构的差异化电压阈值的nmos器件的方法中的各种操作的截面图。
[0374]
参考图35a,其中“标准vt nmos”区(std vt nmos)和“高vt nmos”区(high vt nmos)被示为在公共衬底上分叉,制造集成电路结构的方法包括在第一半导体鳍状物3502之上和第二半导体鳍状物3504之上(例如在第一和第二硅鳍状物之上)形成栅极电介质层3506。p型金属层3508形成在第一半导体鳍状物3502之上和第二半导体鳍状物3504之上的栅极电介质层3506上。
[0375]
参考图35b,从第一半导体鳍状物3502之上的栅极电介质层3506去除p型金属层3508的一部分,但p型金属层3508的部分3509保留在第二半导体鳍状物3504之上的栅极电介质层3506上。
[0376]
参考图35c,n型金属层3510形成在第一半导体鳍状物3502之上的栅极电介质层3506上,以及第二半导体鳍状物3504之上的栅极电介质层3506上的p型金属层的部分3509上。在实施例中,后续处理包括在第一半导体鳍状物3502之上形成具有电压阈值(vt)的第一n型器件,以及在第二半导体鳍状物3504之上形成具有电压阈值(vt)的第二n型器件,其中第二n型器件的vt高于第一n型器件的vt。
[0377]
参考图35d,在实施例中,导电填充金属层3512形成在n型金属层3510上。在一个这样的实施例中,形成导电填充金属层3512包括利用六氟化钨(wf6)前体使用原子层沉积(ald)形成含钨膜。
[0378]
图36a-图36d示出了根据本公开的实施例的制造具有基于差异化栅极电极结构的差异化电压阈值的pmos器件的方法中的各种操作的截面图。
[0379]
参考图36a,其中“标准vt pmos”区(std vt pmos)和“低vt pmos”区(low vt pmos)被示为在公共衬底上分叉,制造集成电路结构的方法包括在第一半导体鳍状物3602之上和第二半导体鳍状物3604之上(例如在第一和第二硅鳍状物之上)形成栅极电介质层3606。第一p型金属层3608形成在第一半导体鳍状物3602之上和第二半导体鳍状物3604之上的栅极电介质层3606上。
[0380]
参考图36b,从第一半导体鳍状物3602之上的栅极电介质层3606去除第一p型金属层3608的一部分,但第一p型金属层3608的部分3609保留在第二半导体鳍状物3604之上的栅极电介质层3606上。
[0381]
参考图36c,第二p型金属层3610形成在第一半导体鳍状物3602之上的栅极电介质层3606上,以及第二半导体鳍状物3604之上的栅极电介质层3606上的第一p型金属层的部分3609上。在实施例中,后续处理包括在第一半导体鳍状物3602之上形成具有电压阈值(vt)的第一p型器件,以及在第二半导体鳍状物3604之上形成具有电压阈值(vt)的第二p型器件,其中第二p型器件的vt低于第一p型器件的vt。
[0382]
在一个实施例中,第一p型金属层3608和第二p型金属层3610具有相同的组分。在一个实施例中,第一p型金属层3608和第二p型金属层3610具有相同的厚度。在一个实施例中,第一p型金属层3608和第二p型金属层3610具有相同的厚度和相同的组分。在一个实施例中,接缝3611在第一p型金属层3608和第二p型金属层3610之间,如所示。
[0383]
参考图36d,在实施例中,导电填充金属层3612形成在p型金属层3610之上。在一个
这样的实施例中,形成导电填充金属层3612包括利用六氟化钨(wf6)前体使用原子层沉积(ald)形成含钨膜。在一个实施例中,在形成导电填充金属层3612之前,在p型金属层3610上形成n型金属层3614,如所示。在一个这样的实施例中,n型金属层3614是双金属栅极替换处理方案的人工制品。
[0384]
在另一方面中,描述了用于互补金属氧化物半导体(cmos)半导体器件的金属栅极结构。在示例中,图37示出了根据本公开的实施例的具有p/n结的集成电路结构的截面图。
[0385]
参考图37,集成电路结构3700包括半导体衬底3702,该半导体衬底具有n阱区3704和p阱区3708,n阱区3704具有从其突出的第一半导体鳍状物3706,p阱区3708具有从其突出的第二半导体鳍状物3710。第一半导体鳍状物3706与第二半导体鳍状物3710间隔开。在半导体衬底3702中n阱区3704与p阱区3708直接相邻。沟槽隔离结构3712在半导体衬底3702上、在第一半导体鳍状物3706和第二半导体鳍状物3710外部和之间。第一3706和第二3710半导体鳍状物在沟槽隔离结构3712上方延伸。
[0386]
栅极电介质层3714在第一3706和第二3710半导体鳍状物上和沟槽隔离结构3712上。栅极电介质层3714在第一3706和第二3710半导体鳍状物之间是连续的。导电层3716在第一半导体鳍状物3706之上的栅极电介质层3714之上,但不在第二半导体鳍状物3710之上的栅极电介质层3714之上。在一个实施例中,导电层3716包括钛、氮和氧。p型金属栅极层3718在第一半导体鳍状物3706之上的导电层3716之上,但不在第二半导体鳍状物3710之上的导电层3716之上。p型金属栅极层3718进一步在第一半导体鳍状物3706和第二半导体鳍状物3710之间的沟槽隔离结构3712的一部分但(并非全部)上。n型金属栅极层3720在第二半导体鳍状物3710之上,在第一半导体鳍状物3706和第二半导体鳍状物3710之间的沟槽隔离结构3712之上,以及p型金属栅极层3718之上。
[0387]
在一个实施例中,层间电介质(ild)层3722在第一半导体鳍状物3706和第二半导体鳍状物3710外部上的沟槽隔离结构3712上方。ild层3722具有开口3724,该开口3724暴露第一3706和第二3710半导体鳍状物。在一个这样的实施例中,进一步沿开口3724的侧壁3726形成导电层3716、p型金属栅极层3718和n型金属栅极层3720,如所示。在特定实施例中,导电层3716具有沿开口3724的侧壁3726处于p型金属栅极层3718的顶表面3719下方、并且沿开口3724的侧壁3726处于n型金属栅极层3720的顶表面3721下方的顶表面3717,如所示。
[0388]
在一个实施例中,p型金属栅极层3718包括钛和氮。在一个实施例中,n型金属栅极层3720包括钛和铝。在一个实施例中,导电填充金属层3730在n型金属层层3720之上,如所示。在一个这样的实施例中,导电填充金属层3730包括钨。在特定实施例中,导电填充金属层3730包括95或更大原子百分比的钨以及0.1到2原子百分比的氟。在一个实施例中,栅极电介质层3714具有包括铪和氧的层。在一个实施例中,热或化学氧化物层3732在第一3706和第二3710半导体鳍状物的上部部分之间,如所示。在一个实施例中,半导体衬底3702为体硅半导体衬底。
[0389]
现在仅参考图37的右侧,根据本公开的实施例,集成电路结构包括半导体衬底3702,该半导体衬底包括具有从其突出的半导体鳍状物3706的n阱区3704。沟槽隔离结构3712在半导体衬底3702上、处于半导体鳍状物3706周围。半导体鳍状物3706在沟槽隔离结构3712上方延伸。栅极电介质层3714在半导体鳍状物3706之上。导电层3716在半导体鳍状
物3706之上的栅极电介质层3714之上。在一个实施例中,导电层3716包括钛、氮和氧。p型金属栅极层3718在半导体鳍状物3706之上的导电层3716之上。
[0390]
在一个实施例中,层间电介质(ild)层3722在沟槽隔离结构3712上方。ild层具有开口,该开口暴露半导体鳍状物3706。沿开口的侧壁进一步形成导电层3716和p型金属栅极层3718。在一个这样的实施例中,导电层3716沿开口的侧壁具有顶表面,所述顶表面低于p型金属栅极层3718沿开口的侧壁的顶表面。在一个实施例中,p型金属栅极层3718在导电层3716上方。在一个实施例中,p型金属栅极层3718包括钛和氮。在一个实施例中,导电填充金属层3730在p型金属栅极层3718之上。在一个这样的实施例中,导电填充金属层3730包括钨。在特定的这种实施例中,导电填充金属层3730由95或更大原子百分比的钨以及0.1到2原子百分比的氟构成。在一个实施例中,栅极电介质层3714包括具有铪和氧的层。
[0391]
图38a-图38h示出了根据本公开的实施例的使用双金属栅极替换栅极工艺流制造集成电路结构的方法中的各种操作的截面图。
[0392]
参考图38a,其示出了nmos(n型)区和pmos(p型)区,制造集成电路结构的方法包括在衬底3800上方的第一3804和第二3806半导体鳍状物上方形成层间电介质(ild)层3802。在ild层3802中形成开口3808,该开口3808暴露第一3804和第二3806半导体鳍状物。在一个实施例中,通过去除初始在第一3804和第二3806半导体鳍状物之上的位置中的栅极占位体或虚设栅极结构而形成开口3808。
[0393]
栅极电介质层3810形成于开口3808中并在第一3804和第二3806半导体鳍状物之上以及第一3804和第二3806半导体鳍状物之间的沟槽隔离结构3812的一部分上。在一个实施例中,栅极电介质层3810形成于在第一3804和第二3806半导体鳍状物上形成的诸如氧化硅或二氧化硅层的热或化学氧化物层3811上,如所示。在另一个实施例中,栅极电介质层3810直接形成于第一3804和第二3806半导体鳍状物上。
[0394]
导电层3814形成在第一3804和第二3806半导体鳍状物之上形成的栅极电介质层3810之上。在一个实施例中,导电层3814包括钛、氮和氧。p型金属栅极层3816形成在第一半导体鳍状物3804之上和第二半导体鳍状物3806之上形成的导电层3814之上。
[0395]
参考图38b,电介质蚀刻停止层3818形成在p型金属栅极层3816上。在一个实施例中,电介质蚀刻停止层3818包括第一氧化硅(例如,sio2)层、第一氧化硅层上的氧化铝层(例如,al2o3)、以及氧化铝层上的第二氧化硅(例如,sio2)层。
[0396]
参考图38c,掩模3820形成在图38b的结构之上。掩模3820覆盖pmos区并暴露nmos区。
[0397]
参考图38d,对电介质蚀刻停止层3818、p型金属栅极层3816和导电层3814进行图案化以提供图案化的电介质蚀刻停止层3819、在第一半导体鳍状物3804之上但并非在第二半导体鳍状物3806之上的图案化的导电层3815之上的图案化的p型金属栅极层3817。在实施例中,导电层3814在图案化期间保护第二半导体鳍状物3806。
[0398]
参考图38e,从图38d的结构去除掩模3820。参考图38f,从图38e的结构去除图案化的电介质蚀刻停止层3819。
[0399]
参考图38g,n型金属栅极层3822形成在第二半导体鳍状物3806之上,在第一半导体鳍状物3804和第二半导体鳍状物3806之间的沟槽隔离结构3812的部分之上,并且在图案化的p型金属栅极层3817之上。在实施例中,进一步沿开口3808的侧壁3824形成图案化的导
电层3815、图案化的p型金属栅极层3817和n型金属栅极层3822。在一个这样的实施例中,图案化的导电层3815具有沿开口3808的侧壁3824处于图案化的p型金属栅极层3817的顶表面下方、并且沿开口3808的侧壁3824处于n型金属栅极层3822的顶表面下方的顶表面。
[0400]
参考图38h,导电填充金属层3826形成在n型金属层3822之上。在一个实施例中,通过使用原子层沉积(ald)利用六氟化钨(wf6)前体沉积含钨膜而形成导电填充金属层3826。
[0401]
在另一方面中,描述了用于互补金属氧化物半导体(cmos)半导体器件的双硅化物结构。作为示例性工艺流,图39a-图39h示出了根据本公开的实施例的表示制造基于双硅化物的集成电路的方法中的各种操作的截面图。
[0402]
参考图39a,其中nmos区和pmos区被示为在公共衬底上分叉,制造集成电路结构的方法包括形成第一栅极结构3902,其可以包括诸如第一硅鳍状物的第一鳍状物3904之上的电介质侧壁间隔体3903。可以包括电介质侧壁间隔体3953的第二栅极结构3952形成于诸如第二硅鳍状物的第二鳍状物3954之上。与第一鳍状物3904之上的第一栅极结构3902相邻并与第二鳍状物3954之上的第二栅极结构3952相邻地形成绝缘材料3906。在一个实施例中,绝缘材料3906为牺牲材料,并在双硅化物工艺期间被用作掩模。
[0403]
参考图39b,从第一鳍状物3904之上但不从第二鳍状物3954之上去除绝缘材料3906的第一部分,以暴露与第一栅极结构3902相邻的第一鳍状物3904的第一3908和第二3910源极或漏极区。在实施例中,第一3908和第二3910源极或漏极区是形成于第一鳍状物3904的凹陷部分内的外延区,如所示。在一个这样的实施例中,第一3908和第二3910源极或漏极区包括硅和锗。
[0404]
参考图39c,第一金属硅化物层3912形成于第一鳍状物3904的第一3908和第二3910源极或漏极区上。在一个实施例中,通过在图39b的结构上沉积包括镍和铂的层,对包括镍和铂的层退火,并去除包括镍和铂的层的未反应部分,来形成第一金属硅化物层3912。
[0405]
参考图39d,在形成第一金属硅化物层3912之后,从第二鳍状物3954之上去除绝缘材料3906的第二部分,以暴露第二鳍状物3954的与第二栅极结构3952相邻的第三3958和第四3960源极或漏极区。在实施例中,第二3958和第三3960源极或漏极区形成在第二鳍状物3954内,例如在第二硅鳍状物内,如所示。然而,在另一实施例中,第三3958和第四3960源极或漏极区是形成于第二鳍状物3954的凹陷部分内的外延区。在一个这样的实施例中,第三3958和第四3960源极或漏极区包括硅。
[0406]
参考图39e,第一金属层3914形成在图39d的结构上,即,在第一3908、第二3910、第三3958和第四3960源极或漏极区上。第二金属硅化物层3962然后形成于第二鳍状物3954的第三3958和第四3960源极或漏极区上。例如,使用退火工艺从第一金属层3914形成第二金属硅化物层3962。在实施例中,第二金属硅化物层3962的组分与第一金属硅化物层3912的组分不同。在一个实施例中,第一金属层3914是或包括钛层。在一个实施例中,第一金属层3914被形成为共形金属层,例如,与图39d的开口沟槽共形,如所示。
[0407]
参考图39f,在实施例中,使第一金属层3914凹陷以在第一3908、第二3910、第三3958和第四3960源极或漏极区中的每个上方形成u形金属层3916。
[0408]
参考图39g,在实施例中,第二金属层3918形成在图39f的结构的u形金属层3916上。在实施例中,第二金属层3918的组分与u形金属层3916的组分不同。
[0409]
参考图39h,在实施例中,第三金属层3920形成在图39g的结构的第二金属层3918
上。在实施例中,第三金属层3920与u形金属层3916具有相同的组分。
[0410]
再次参考图39h,根据本公开的实施例,集成电路结构3900包括衬底上方的p型半导体器件(pmos)。p型半导体器件包括第一鳍状物3904,例如第一硅鳍状物。应当认识到,第一鳍状物具有顶部(示为3904a)和侧壁(进入页面和离开页面)。第一栅极电极3902包括处于第一鳍状物3904的顶部3904a之上并与第一鳍状物3904的侧壁横向相邻的第一栅极电介质层,并包括处于第一鳍状物3904的顶部3904a之上的第一栅极电介质层之上并与第一鳍状物3904的侧壁横向相邻的第一栅极电极。第一栅极电极3902具有第一侧3902a和与第一侧3902a相对的第二侧3902b。
[0411]
第一3908和第二3910半导体源极或漏极区分别与第一栅极电极3902的第一3902a和第二3902b侧相邻。第一3930和第二3932沟槽接触结构分别在与第一栅极电极3902的第一3902a和第二3902b侧相邻的第一3908和第二3910半导体源极或漏极区之上。第一金属硅化物层3912分别直接在第一3930和第二3932沟槽接触结构与第一3908和第二3910半导体源极或漏极区之间。
[0412]
集成电路结构3900包括衬底上方的n型半导体器件(nmos)。n型半导体器件包括第二鳍状物3954,例如第二硅鳍状物。应当认识到,第二鳍状物具有顶部(示为3954a)和侧壁(进入页面和离开页面)。第二栅极电极3952包括处于第二鳍状物3954的顶部3954a之上并与第二鳍状物3954的侧壁横向相邻的第二栅极电介质层,并包括处于第二鳍状物3954的顶部3954a之上的第二栅极电介质层之上并与第二鳍状物3954的侧壁横向相邻的第二栅极电极。第二栅极电极3952具有第一侧3952a和与第一侧3952a相对的第二侧3952b。
[0413]
第三3958和第四3960半导体源极或漏极区分别与第二栅极电极3952的第一3952a和第二3952b侧相邻。第三3970和第四3972沟槽接触结构分别在与第二栅极电极3952的第一3952a和第二3952b侧相邻的第三3958和第四3960半导体源极或漏极区之上。第二金属硅化物层3962分别直接在第三3970和第四3972沟槽接触结构与第三3958和第四3960半导体源极或漏极区之间。在实施例中,第一金属硅化物层3912包括至少一种第二金属硅化物层3962中不包括的金属种类。
[0414]
在一个实施例中,第二金属硅化物层3962包括钛和硅。第一金属硅化物层3912包括镍、铂和硅。在一个实施例中,第一金属硅化物层3912还包括锗。在一个实施例中,第一金属硅化物层3912还包括钛,例如,在接下来利用第一金属层3914形成第二金属硅化物层3962期间并入到第一金属硅化物层3912中。在一个这样的实施例中,进一步通过用于在nmos源极或漏极区上形成硅化物区的退火工艺修改pmos源极或漏极区上已经形成的硅化物层。这样可能导致pmos源极或漏极区上的硅化物层具有所有硅化金属中的很小的百分比。然而,在其它实施例中,pmos源极或漏极区上已经形成的这种硅化物层不会被用于在nmos源极或漏极区上形成硅化物区的退火工艺改变或大体上不被改变。
[0415]
在一个实施例中,第一3908和第二3910半导体源极或漏极区是包括硅和锗的第一和第二嵌入式半导体源极或漏极区。在一个这样的实施例中,第三3958和第四3960半导体源极或漏极区是包括硅的第三和第四嵌入式半导体源极或漏极区。在另一个实施例中,第三3958和第四3960半导体源极或漏极区形成于鳍状物3954中并且不是嵌入式外延区。
[0416]
在实施例中,第一3930、第二3932、第三3970和第四3972沟槽接触结构全部包括u形金属层3916以及u形金属层3916的整体上和之上的t形金属层3918。在一个实施例中,u形
金属层3916包括钛,并且t形金属层3918包括钴。在一个实施例中,第一3930、第二3932、第三3970和第四3972沟槽接触结构全部包括t形金属层3918上的第三金属层3920。在一个实施例中,第三金属层3920和u形金属层3916具有相同的组分。在特定实施例中,第三金属层3920和u形金属层包括钛,并且t形金属层3918包括钴。
[0417]
在另一方面中,描述了例如用于源极或漏极区的沟槽接触结构。在示例中,图40a示出了根据本公开的实施例的具有用于nmos器件的沟槽接触部的集成电路结构的截面图。图40b示出了根据本公开的另一实施例的具有用于pmos器件的沟槽接触部的集成电路结构的截面图。
[0418]
参考图40a,集成电路结构4000包括鳍状物4002,例如硅鳍状物。栅极电介质层4004在鳍状物4002之上。栅极电极4006在栅极电介质层4004之上。在实施例中,栅极电极4006包括共形导电层4008和导电填充4010。在实施例中,电介质帽4012在栅极电极4006之上并在栅极电介质层4004之上。栅极电极具有第一侧4006a和与第一侧4006a相对的第二侧4006b。电介质间隔体4013沿栅极电极4006的侧壁。在一个实施例中,栅极电介质层4004进一步在电介质间隔体4013中的第一个与栅极电极4006的第一侧4006a之间,并在电介质间隔体4013中的第二个与栅极电极4006的第二侧4006b之间,如所示。在实施例中,尽管未示出,但诸如热或化学氧化硅或二氧化硅层的薄氧化物层在鳍状物4002与栅极电介质层4004之间。
[0419]
第一4014和第二4016半导体源极或漏极区分别与栅极电极4006的第一4006a和第二4006b侧相邻。在一个实施例中,第一4014和第二4016半导体源极或漏极区在鳍状物4002中,如所示。然而,在另一个实施例中,第一4014和第二4016半导体源极或漏极区是形成于鳍状物4002的凹陷中的嵌入式外延区。
[0420]
第一4018和第二4020沟槽接触结构分别在与栅极电极4006的第一4006a和第二4006b侧相邻的第一4014和第二4016半导体源极或漏极区之上。第一4018和第二4020沟槽接触结构都包括u形金属层4022以及u形金属层4022的整体上和之上的t形金属层4024。在一个实施例中,u形金属层4022和t形金属层4024具有不同的组分。在一个这样的实施例中,u形金属层4022包括钛,并且t形金属层4024包括钴。在一个实施例中,第一4018和第二4020沟槽接触结构都包括t形金属层4024上的第三金属层4026。在一个这样的实施例中,第三金属层4026和u形金属层4022具有相同的组分。在特定实施例中,第三金属层4026和u形金属层4022包括钛,并且t形金属层4024包括钴。
[0421]
第一沟槽接触通孔4028电连接到第一沟槽接触部4018。在特定实施例中,第一沟槽接触通孔4028在第一沟槽接触部4018的第三金属层4026上并与其耦合。第一沟槽接触通孔4028进一步在电介质间隔体4013之一的一部分之上并与其接触,并在电介质帽4012的一部分之上并与其接触。第二沟槽接触通孔4030电连接到第二沟槽接触部4020。在特定实施例中,第二沟槽接触通孔4030在第二沟槽接触部4020的第三金属层4026上并与其耦合。第二沟槽接触通孔4030进一步在电介质间隔体4013中的另一个的一部分之上并与其接触,并在电介质帽4012的另一部分之上并与其接触。
[0422]
在实施例中,金属硅化物层4032分别直接在第一4018和第二4020沟槽接触结构与第一4014和第二4016半导体源极或漏极区之间。在一个实施例中,金属硅化物层4032包括钛和硅。在特定的这种实施例中,第一4014和第二4016半导体源极或漏极区是第一和第二n
型半导体源极或漏极区。
[0423]
参考图40b,集成电路结构4050包括鳍状物4052,例如硅鳍状物。栅极电介质层4054在鳍状物4052之上。栅极电极4056在栅极电介质层4054之上。在实施例中,栅极电极4056包括共形导电层4058和导电填充4060。在实施例中,电介质帽4062在栅极电极4056之上并在栅极电介质层4054之上。栅极电极具有第一侧4056a和与第一侧4056a相对的第二侧4056b。电介质间隔体4063沿栅极电极4056的侧壁。在一个实施例中,栅极电介质层4054进一步在电介质间隔体4063中的第一个与栅极电极4056的第一侧4056a之间,并在电介质间隔体4063的第二个与栅极电极4056的第二侧4056b之间,如所示。在实施例中,尽管未示出,但诸如热或化学氧化硅或二氧化硅层的薄氧化物层在鳍状物4052与栅极电介质层4054之间。
[0424]
第一4064和第二4066半导体源极或漏极区分别与栅极电极4056的第一4056a和第二4056b侧相邻。在一个实施例中,第一4064和第二4066半导体源极或漏极区是分别形成于鳍状物4052的凹陷4065和4067中的嵌入式外延区,如所示。然而,在另一个实施例中,第一4064和第二4066半导体源极或漏极区在鳍状物4052中。
[0425]
第一4068和第二4070沟槽接触结构分别在与栅极电极4056的第一4056a和第二4056b侧相邻的第一4064和第二4066半导体源极或漏极区之上。第一4068和第二4070沟槽接触结构都包括u形金属层4072以及u形金属层4072的整体上和之上的t形金属层4074。在一个实施例中,u形金属层4072和t形金属层4074具有不同的组分。在一个这样的实施例中,u形金属层4072包括钛,并且t形金属层4074包括钴。在一个实施例中,第一4068和第二4070沟槽接触结构都还包括t形金属层4074上的第三金属层4076。在一个这样的实施例中,第三金属层4076和u形金属层4072具有相同的组分。在特定实施例中,第三金属层4076和u形金属层4072包括钛,并且t形金属层4074包括钴。
[0426]
第一沟槽接触通孔4078电连接到第一沟槽接触部4068。在特定实施例中,第一沟槽接触通孔4078在第一沟槽接触部4068的第三金属层4076上并与其耦合。第一沟槽接触通孔4078进一步在电介质间隔体4063之一的一部分之上并与其接触,并在电介质帽4062的一部分之上并与其接触。第二沟槽接触通孔4080电连接到第二沟槽接触部4070。在特定实施例中,第二沟槽接触通孔4080在第二沟槽接触部4070的第三金属层4076上并与其耦合。第二沟槽接触通孔4080进一步在电介质间隔体4063中的另一个的一部分之上并与其接触,并在电介质帽4062的另一部分之上并与其接触。
[0427]
在实施例中,金属硅化物层4082分别直接在第一4068和第二4070沟槽接触结构与第一4064和第二4066半导体源极或漏极区之间。在一个实施例中,金属硅化物层4082包括镍、铂和硅。在特定的这种实施例中,第一4064和第二4066半导体源极或漏极区是第一和第二p型半导体源极或漏极区。在一个实施例中,金属硅化物层4082还包括锗。在一个实施例中,金属硅化物层4082还包括钛。
[0428]
本文描述的一个或多个实施例涉及为环绕式半导体接触部使用金属化学气相沉积。实施例可以适用于或包括化学气相沉积(cvd)、等离子体增强化学气相沉积(pecvd)、原子层沉积(ald)、导电接触部制造或薄膜中的一种或多种。
[0429]
特定实施例可以包括使用接触金属的低温(例如,低于500摄氏度,或在400-500摄氏度的范围内)化学气相沉积制造钛或类似金属层,以提供共形的源极或漏极接触部。实施
这种共形源极或漏极接触部可以改善三维(3d)晶体管互补金属氧化物半导体(cmos)性能。
[0430]
为了提供语境,可以使用溅镀向半导体接触层沉积金属。溅镀是视线工艺,并且可能不是很适合3d晶体管制造。已知的溅镀方案在器件接触表面上具有差的或不完整的金属-半导体结,与沉积的入射有夹角。
[0431]
根据本公开的一个或多个实施例,实施低温化学气相沉积工艺以制造接触金属,以在三维中提供共形性,并使金属半导体结接触面积最大化。所得的更大接触面积可以减小结的电阻。实施例可以包括在具有非平坦形貌的半导体表面上沉积,其中区域的形貌是指表面形状和特征自身,并且非平坦形貌包括不平坦的表面形状和特征或表面形状和特征的部分,即,并非完全平坦的表面形状和特征。
[0432]
本文描述的实施例可以包括制造环绕式接触结构。在一个这样的实施例中,描述了通过化学气相沉积、等离子体增强化学气相沉积、原子层沉积或等离子体增强原子层沉积而共形沉积到晶体管源极-漏极接触部上的纯金属的使用。可以使用这样的共形沉积增大金属半导体接触部的可用面积并减小电阻,从而改善晶体管器件的性能。在实施例中,沉积的温度较低导致每单位面积的结电阻最小化。
[0433]
应当认识到,可以使用涉及如本文所述的金属层沉积工艺的集成方案来制造各种集成电路结构。根据本公开的实施例,制造集成电路结构的方法包括在具有rf源的化学气相沉积(cvd)室中提供衬底,该衬底上具有特征。该方法还包括使四氯化钛(ticl4)和氢(h2)发生反应,以在衬底的特征上形成钛(ti)层。
[0434]
在实施例中,钛层具有包括98%或更多钛、以及0.5-2%的氯的总原子组分。在替代实施例中,使用类似工艺制造锆(zr)、铪(hf)、钽(ta)、铌(nb)或钒(v)的高纯度金属层。在实施例中,有相对较小的膜厚度变化,例如,在实施例中,所有覆盖率都大于50%,并且标称值为70%或更大(即,厚度变化为30%或更小)。在实施例中,在硅(si)或硅锗(sige)上测量的厚度比在其它表面上测量的厚度更厚,因为si或sige在沉积期间发生反应并加快ti的摄入。在实施例中,膜组分包括大致0.5%的cl(或少于1%)作为杂质,大体上没有其它观测到的杂质。在实施例中,沉积工艺使得金属能够覆盖在非视线表面上,例如被溅镀沉积视线隐藏的表面上。可以实施本文描述的实施例以通过减小通过源极和漏极接触部驱动的电流的外部电阻来改善晶体管器件驱动。
[0435]
根据本公开的实施例,衬底的特征是暴露半导体源极或漏极结构的源极或漏极接触沟槽。钛层(或其它高纯度金属层)是用于半导体源极或漏极结构的导电接触层。下文结合图41a、图41b、图42、图43a-图43c和图44描述了这样的实施方式的示例性实施例。
[0436]
图41a示出了根据本公开的实施例的在源极或漏极区上具有导电接触部的半导体器件的截面图。
[0437]
参考图41a,半导体结构4100包括衬底4104上方的栅极结构4102。栅极结构4102包括栅极电介质层4102a、功函数层4102b和栅极填充4102c。源极区4108和漏极区4110在栅极结构4102的相对侧上。源极或漏极接触部4112电连接到源极区4108和漏极区4110,并由层间电介质4114或栅极电介质间隔体4116中的一个或两者与栅极结构4102间隔开。源极区4108和漏极区4110是衬底4104的区域。
[0438]
在实施例中,源极或漏极接触部4112包括例如如上所述的高纯度金属层4112a以及导电沟槽填充材料4112b。在一个实施例中,高纯度金属层4112a具有包括98%或更大的
钛的总原子组分。在一个这样的实施例中,高纯度金属层4112a的总原子组分还包括0.5-2%的氯。在实施例中,高纯度金属层4112a具有30%或更小的厚度变化。在实施例中,导电沟槽填充材料4112b由诸如但不限于cu、al、w或其合金的导电材料构成。
[0439]
图41b示出了根据本公开的实施例的在升高的源极或漏极区上具有导电接触部的另一半导体器件的截面图。
[0440]
参考图41b,半导体结构4150包括衬底4154上方的栅极结构4152。栅极结构4152包括栅极电介质层4152a、功函数层4152b和栅极填充4152c。源极区4158和漏极区4160在栅极结构4152的相对侧上。源极或漏极接触部4162电连接到源极区4158和漏极区4160,并由层间电介质层4164或栅极电介质间隔体4166中的一个或两者与栅极结构4152间隔开。源极区4158和漏极区4160是在衬底4154的被蚀刻掉的区域中形成的外延或嵌入式材料区。如所示,在实施例中,源极区4158和漏极区4160是升高的源极和漏极区。在具体的这种实施例中,升高的源极和漏极区是升高的硅源极和漏极区或升高的硅锗源极和漏极区。
[0441]
在实施例中,源极或漏极接触部4162包括例如如上所述的高纯度金属层4162a以及导电沟槽填充材料4162b。在一个实施例中,高纯度金属层4162a具有包括98%或更大的钛的总原子组分。在一个这样的实施例中,高纯度金属层4162a的总原子组分还包括0.5-2%的氯。在实施例中,高纯度金属层4162a具有30%或更小的厚度变化。在实施例中,导电沟槽填充材料4162b由诸如但不限于cu、al、w或其合金的导电材料构成。
[0442]
因此,在实施例中,统一参考图41a和图41b,集成电路结构包括具有表面的特征(暴露半导体源极或漏极结构的源极或漏极接触沟槽)。高纯度金属层4112a或4162a在源极或漏极接触沟槽的表面上。应当认识到,接触形成工艺能够涉及消耗源极或漏极区的暴露的硅或锗或硅锗材料。这样的消耗可能降低器件性能。相比之下,根据本公开的实施例,半导体源极(4108或4158)或漏极(4110或4160)结构的表面(4149或4199)不被腐蚀或消耗,或者在源极或漏极接触沟槽下面大体上不被腐蚀或消耗。在一个这样的实施例中,由于高纯度金属接触层的低温沉积而导致缺乏消耗或腐蚀。
[0443]
图42示出了根据本公开的实施例的半导体鳍状物对之上的多个栅极线的平面图。
[0444]
参考图42,多个有源栅极线4204形成在多个半导体鳍状物4200之上。虚设栅极线4206在多个半导体鳍状物4200的端部。栅极线4204/4206之间的间隔4208是沟槽接触部可以被形成为通往源极或漏极区(例如源极或漏极区4251、4252、4253和4254)的导电接触部的位置。
[0445]
图43a-图43c示出了根据本公开的实施例的针对制造集成电路结构的方法中的各种操作的沿图42的a-a’轴截取的截面图。
[0446]
参考图43a,多个有源栅极线4304形成在衬底4300上方形成的半导体鳍状物4302之上。虚设栅极线4306在半导体鳍状物4302的端部。电介质层4310介于有源栅极线4304之间、虚设栅极线4306和有源栅极线4304之间以及虚设栅极线4306外部。嵌入式源极或漏极结构4308在有源栅极线4304之间以及虚设栅极线4306和有源栅极线4304之间的半导体鳍状物4302中。有源栅极线4304包括栅极电介质层4312、功函数栅极电极部分4314和填充栅极电极部分4316以及电介质帽层4318。电介质间隔体4320沿有源栅极线4304和虚设栅极线4306的侧壁排列。
[0447]
参考图43b,电介质层4310在有源栅极线4304之间以及在虚设栅极线4306和有源
栅极线4304之间的部分被去除,以在要形成沟槽接触部的位置提供开口4330。去除电介质层4310在有源栅极线4304之间以及在虚设栅极线4306和有源栅极线4304之间的部分可能导致腐蚀嵌入式源极或漏极结构4308,以提供可以具有上部鞍形形貌的被腐蚀的嵌入式源极或漏极结构4332,如图43b所示。
[0448]
参考图43c,沟槽接触部4334形成在有源栅极线4304之间以及在虚设栅极线4306和有源栅极线4304之间的开口4330中。沟槽接触部4334中的每个可以包括金属接触层4336和导电填充材料4338。
[0449]
图44示出了根据本公开的实施例的针对集成电路结构的沿图42的b-b’轴截取的截面图。
[0450]
参考图44,鳍状物4402被示为在衬底4404上方。鳍状物4402的下部部分被沟槽隔离材料4404围绕。鳍状物4402的上部部分已经被去除以使得能够生长嵌入式源极和漏极结构4406。在电介质层4410的开口中形成沟槽接触部4408,该开口暴露嵌入式源极和漏极结构4406。沟槽接触部包括金属接触层4412和导电填充材料4414。应当认识到,根据实施例,金属接触层4412延伸到沟槽接触部4408的顶部,如图44中所示。然而,在另一个实施例中,金属接触层4412不延伸到沟槽接触部4408的顶部,且在沟槽接触部4408内有些凹陷,例如,类似于图43c中的金属接触层4436的绘示。
[0451]
因此,共同参考图42、图43a-图43c和图44,根据本公开的实施例,集成电路结构包括衬底(4300,4400)上方的半导体鳍状物(4200,4302,4402)。半导体鳍状物(4200,4302,4402)具有顶部和侧壁。栅极电极(4204,4304)在顶部之上并与半导体鳍状物(4200,4302,4402)的一部分的侧壁相邻。栅极电极(4204,4304)在半导体鳍状物(4200,4302,4402)中界定沟道区。第一半导体源极或漏极结构(4251,4332,4406)在栅极电极(4204,4304)第一侧的在沟道区的第一端部处,第一半导体源极或漏极结构(4251,4332,4406)具有非平坦形貌。第二半导体源极或漏极结构(4252,4332,4406)在栅极电极(4204,4304)的第二侧的沟道区的第二端部,第二端部与第一端部相对,并且第二侧与第一侧相对。第二半导体源极或漏极结构(4252,4332,4406)具有非平坦形貌。金属接触材料(4336,4412)直接在第一半导体源极或漏极结构(4251,4332,4406)上,并直接在第二半导体源极或漏极结构(4252,4332,4406)上。金属接触材料(4336,4412)与第一半导体源极或漏极结构(4251,4332,4406)的非平坦形貌共形,并与第二半导体源极或漏极结构(4252,4332,4406)的非平坦形貌共形。
[0452]
在实施例中,金属接触材料(4336,4412)具有包括95%或更大的单一金属种类的总原子组分。在一个这样的实施例中,金属接触材料(4336,4412)具有包括98%或更大的钛的总原子组分。在具体的这种实施例中,金属接触材料(4336,4412)的总原子组分还包括0.5-2%的氯。在实施例中,金属接触材料(4336,4412)沿第一半导体源极或漏极结构(4251,4332,4406)的非平坦形貌以及沿第二半导体源极或漏极结构(4252,4332,4406)的非平坦形貌具有30%或更小的厚度变化。
[0453]
在实施例中,第一半导体源极或漏极结构(4251,4332,4406)的非平坦形貌和第二半导体源极或漏极结构(4252,4332,4406)的非平坦形貌都包括升高的中心部分和较低的侧面部分,例如,如图44中所示。在实施例中,第一半导体源极或漏极结构(4251,4332,4406)的非平坦形貌和第二半导体源极或漏极结构(4252,4332,4406)的非平坦形貌都包括
马鞍形部分,例如,如图43c中所示。
[0454]
在实施例中,第一半导体源极或漏极结构(4251,4332,4406)和第二半导体源极或漏极结构(4252,4332,4406)都包括硅。在实施例中,第一半导体源极或漏极结构(4251,4332,4406)和第二半导体源极或漏极结构(4252,4332,4406)都还包括锗,例如硅锗的形式。
[0455]
在实施例中,直接在第一半导体源极或漏极结构(4251,4332,4406)上的金属接触材料(4336,4412)进一步沿第一半导体源极或漏极结构(4251,4332,4406)之上的电介质层(4320,4410)中的沟槽的侧壁,该沟槽暴露第一半导体源极或漏极结构(4251,4332,4406)的一部分。在一个这样的实施例中,金属接触材料(4336)沿沟槽的侧壁的厚度从第一半导体源极或漏极结构(4332处的4336a)到第一半导体源极或漏极结构(4332)上方的位置(4336b)减薄,图43c示出了其示例。在实施例中,导电填充材料(4338,4414)在沟槽内的金属接触材料(4336,4412)上,如图43c和图44所示。
[0456]
在实施例中,集成电路结构还包括具有顶部和侧壁的第二半导体鳍状物(例如,图42的上鳍状物4200、4302、4402)。栅极电极(4204,4304)还在第二半导体鳍状物的一部分的顶部之上并与该部分的侧壁相邻,该栅极电极在第二半导体鳍状物中界定沟道区。第三半导体源极或漏极结构(4253,4332,4406)在栅极电极(4204,4304)第一侧的第二半导体鳍状物的沟道区的第一端部处,该第三半导体源极或漏极结构具有非平坦形貌。第四半导体源极或漏极结构(4254,4332,4406)在栅极电极(4204,4304)的第二侧的第二半导体鳍状物的沟道区的第二端部处,第二端部与第一端部相对,第四半导体源极或漏极结构(4254,4332,4406)具有非平坦形貌。金属接触材料(4336,4412)直接在第三半导体源极或漏极结构(4253,4332,4406)上,并直接在第四半导体源极或漏极结构(4254,4332,4406)上,金属接触材料(4336,4412)与第三半导体源极或漏极结构(4253,4332,4406)的非平坦形貌共形,并与第四半导体源极或漏极结构(4254,4332,4406)的非平坦形貌共形。在实施例中,金属接触材料(4336,4412)在第一半导体源极或漏极结构(4251,4332,左侧4406)和第三半导体源极或漏极结构(4253,4332,右侧4406)之间是连续的,并且在第二半导体源极或漏极结构(4252)和第四半导体源极或漏极结构(4254)之间是连续的。
[0457]
在另一方面中,可以使用硬掩模材料来保存(阻止腐蚀),并可以保留在沟槽线位置中的电介质材料之上的导电沟槽接触部被中断的位置处,例如在接触插塞位置处。例如,图45a和图45b分别示出了根据本公开的实施例的包括其上具有硬掩模材料的沟槽接触插塞的集成电路结构的平面图和对应截面图。
[0458]
参考图45a和图45b,在实施例中,集成电路结构4500包括鳍状物4502a,例如硅鳍状物。多个栅极结构4506在鳍状物4502a之上。栅极结构4506中的个体栅极结构沿与鳍状物4502a正交的方向4508,并具有一对电介质侧壁间隔体4510。沟槽接触结构4512在鳍状物4502a之上并直接在栅极结构4506的第一对4506a/4506b的电介质侧壁间隔体4510之间。接触插塞4514b在鳍状物4502a之上并直接在栅极结构4506的第二对4506b/4506c的电介质侧壁间隔体4510之间。接触插塞4514b包括下部电介质材料4516和上部硬掩模材料4518。
[0459]
在实施例中,接触插塞4516b的下部电介质材料4516包括硅和氧,例如氧化硅或二氧化硅材料。接触插塞4516b的上部硬掩模材料4518包括硅和氮,例如,氮化硅、富硅氮化物或贫硅氮化物材料。
[0460]
在实施例中,沟槽接触结构4512包括下部导电结构4520和下部导电结构4520上的电介质帽4522。在一个实施例中,沟槽接触结构4512的电介质帽4522具有与接触插塞4514b的上部硬掩模材料4518的上表面共面的上表面,如所示。
[0461]
在实施例中,多个栅极结构4506中的个体栅极结构包括栅极电介质层4526上的栅极电极4524。电介质帽4528在栅极电极4524上。在一个实施例中,多个栅极结构4506中的个体栅极结构的电介质帽4528具有与接触插塞4514b的上部硬掩模材料4518的上表面共面的上表面,如所示。在实施例中,尽管未示出,但诸如热或化学氧化硅或二氧化硅层的薄氧化物层在鳍状物4502a和栅极电介质层4526之间。
[0462]
再次参考图45a和图45b,在实施例中,集成电路结构4500包括多个鳍状物4502,例如多个硅鳍状物。多个鳍状物4502中的个体鳍状物沿第一方向4504。多个栅极结构4506在多个鳍状物4502之上。多个栅极结构4506的中个体栅极结构沿与第一方向4504正交的第二方向4508。多个栅极结构4506中的个体栅极结构具有一对电介质侧壁间隔体4510。沟槽接触结构4512在多个鳍状物4502中的第一鳍状物4502a之上并直接在一对栅极结构4506的电介质侧壁间隔体4510之间。接触插塞4514a在多个鳍状物4502中的第二鳍状物4502b之上并直接在一对栅极结构4506的电介质侧壁间隔体4510之间。类似于接触插塞4514b的截面图,接触插塞4514a包括下部电介质材料4516和上部硬掩模材料4518。
[0463]
在实施例中,接触插塞4516a的下部电介质材料4516包括硅和氧,例如氧化硅或二氧化硅材料。接触插塞4516a的上部硬掩模材料4518包括硅和氮,例如,氮化硅、富硅氮化物或贫硅氮化物材料。
[0464]
在实施例中,沟槽接触结构4512包括下部导电结构4520和下部导电结构4520上的电介质帽4522。在一个实施例中,沟槽接触结构4512的电介质帽4522具有与接触插塞4514a或4514b的上部硬掩模材料4518的上表面共面的上表面,如所示。
[0465]
在实施例中,多个栅极结构4506中的个体栅极结构包括栅极电介质层4526上的栅极电极4524。电介质帽4528在栅极电极4524上。在一个实施例中,多个栅极结构4506中的个体栅极结构的电介质帽4528具有与接触插塞4514a或4514b的上部硬掩模材料4518的上表面共面的上表面,如所示。在实施例中,尽管未示出,但诸如热或化学氧化硅或二氧化硅层的薄氧化物层在鳍状物4502a和栅极电介质层4526之间。
[0466]
本公开的一个或多个实施例涉及栅极对准接触工艺。可以实施这样的工艺以形成用于半导体结构制造(例如,用于集成电路制造)的接触结构。在实施例中,接触图案被形成为与现有栅极图案对准。相比之下,其它方式通常涉及利用光刻接触图案与现有栅极图案的严格配准的额外光刻工艺结合选择性接触部蚀刻。例如,另一种工艺可以包括对多(栅极)网格的图案化,其中对接触部和接触插塞单独地进行图案化。
[0467]
根据本文描述的一个或多个实施例,接触形成方法涉及形成接触图案,该接触图案实质上完美对准到现有的栅极图案,同时消除了对具有超级严格的配准预算的光刻操作的使用。在一个这样的实施例中,该方式使得能够使用固有高选择性的湿法蚀刻(例如,相对于干法或等离子体蚀刻)以产生接触开口。在实施例中,通过利用现有的栅极图案结合接触插塞光刻操作形成接触图案。在一个这样的实施例中,该方式使得能够消除对在其它情况下对产生接触图案至关重要的光刻操作(如在其它方式中所使用的)的需求。在实施例中,沟槽接触网格不被单独地图案化,而是在多(栅极)线之间形成。例如,在一个这样的实
施例中,在栅极栅格图案化之后但在栅极栅格切割之前形成沟槽接触网格。
[0468]
图46a-图46d示出了根据本公开的实施例的表示制造包括其上具有硬掩模材料的沟槽接触插塞的集成电路结构的方法中的各种操作的截面图。
[0469]
参考图46a,制造集成电路结构的方法包括形成多个鳍状物,多个鳍状物中的个体鳍状物4602沿第一方向4604。多个鳍状物中的个体鳍状物4602可以包括扩散区4606。多个栅极结构4608形成于多个鳍状物之上。多个栅极结构4508中的个体栅极结构沿与第一方向4604正交的第二方向4610(例如,方向4610进出页面)。牺牲材料结构4612形成于栅极结构4608中的第一对之间。接触插塞4614在栅极结构4608中的第二对之间。接触插塞包括下部电介质材料4616。硬掩模材料4618在下部电介质材料4616上。
[0470]
在实施例中,栅极结构4608包括牺牲或虚设栅极堆叠体和电介质间隔体4609。牺牲或虚设栅极堆叠体可以由多晶硅或氮化硅柱或可以被称为虚设栅极材料的一些其它牺牲材料构成。
[0471]
参考图46b,从图46a的结构中去除牺牲材料结构4612,以在栅极结构4608中的第一对之间形成开口4620。
[0472]
参考图46c,沟槽接触结构4622形成在栅极结构4608中的第一对之间的开口4620中。此外,在实施例中,作为形成沟槽接触结构4622的部分,对图46a和图46b的硬掩模4618进行平面化。最终完成的接触插塞4614’包括由硬掩模材料4618形成的上部硬掩模材料4616和上部硬掩模材料4624。
[0473]
在实施例中,接触插塞4614’中的每个的下部电介质材料4616包括硅和氧,并且接触插塞4614’中的每个的上部硬掩模材料4624包括硅和氮。在实施例中,沟槽接触结构4622中的每个包括下部导电结构4626和下部导电结构4626上的电介质帽4628。在一个实施例中,沟槽接触结构4622的电介质帽4628具有与接触插塞4614’的上部硬掩模材料4624的上表面共面的上表面。
[0474]
参考图46d,在替换栅极工艺方案中替换栅极结构4608的牺牲或虚设栅极堆叠体。在这样的方案中,去除并利用永久栅极电极材料替换虚设栅极材料,例如多晶硅或氮化硅柱材料。在一个这样的实施例中,永久栅极电介质层也是在该工艺中形成的,与从更早处理执行相反。
[0475]
因此,永久栅极结构4630包括永久栅极电介质层4632和永久栅极电极层或堆叠体4634。此外,在实施例中,例如,通过蚀刻工艺去除永久栅极结构4630的顶部部分,并利用电介质帽4636替换。在实施例中,永久栅极结构4630中的个体永久栅极结构的电介质帽4636具有与接触插塞4614’的上部硬掩模材料4624的上表面共面的上表面。
[0476]
再次参考图46a-图46d,在实施例中,在形成沟槽接触结构4622之后执行替换栅极工艺,如所示。然而,根据其它实施例,在形成沟槽接触结构4622之前执行替换栅极工艺。
[0477]
在另一方面中,描述了有源栅极结构之上的接触部(coag)结构和工艺。本公开的一个或多个实施例涉及半导体结构或器件,其具有设置于半导体结构或器件的栅极电极的有源部分之上的一个或多个栅极接触结构(例如,作为栅极接触通孔)。本公开的一个或多个实施例涉及制造半导体结构或器件的方法,该半导体结构或器件具有形成于半导体结构或器件的栅极电极的有源部分之上的一个或多个栅极接触结构。本文描述的方式可以用于通过使得能够在有源栅极区之上形成栅极接触部而减小标准单元面积。在一个或多个实施
例中,被制造成接触栅极电极的栅极接触结构是自对准通孔结构。
[0478]
在与当前代的空间和布局约束相比稍微放松了空间和布局约束的技术中,可以通过形成与设置于隔离区之上的栅极电极的一部分的接触来制造与栅极结构的接触。作为示例,图47a示出了具有设置于栅极电极的非有源部分之上的栅极接触部的半导体器件的平面图。
[0479]
参考图47a,半导体结构或器件4700a包括设置于衬底4702中并在隔离区4706内的扩散或有源区4704。例如栅极线4708a、4708b和4708c的一个或多个栅极线(也称为多线)设置于扩散或有源区4704之上以及隔离区4706的一部分之上。诸如接触部4710a和4710b的源极或漏极接触部(也称为沟槽接触部)设置于半导体结构或器件4700a的源极和漏极区之上。沟槽接触通孔4712a和4712b分别提供与沟槽接触部4710a和4710b的接触。单独的栅极接触部4714以及上覆的栅极接触通孔4716提供与栅极线4708b的接触。与源极或漏极沟槽接触部4710a或4710b相比,从平面图角度看,栅极接触部4714设置在隔离区4706之上,但不在扩散或有源区4704之上。此外,栅极接触部4714或栅极接触通孔4716都不设置在源极或漏极沟槽接触部4710a和4710b之间。
[0480]
图47b示出了具有设置于栅极电极的非有源部分之上的栅极接触部的非平面半导体器件的截面图。参考图47b,半导体结构或器件4700b(例如图47a的器件4700a的非平面版本)包括从衬底4702形成并在隔离区4706内的非平面扩散或有源区4704c(例如,鳍状物结构)。栅极线4708b设置于非平面扩散或有源区4704b之上以及隔离区4706的一部分之上。如所示,栅极线4708b包括栅极电极4750和栅极电介质层4752,连同电介质帽层4754。从该透视图还可以看到栅极接触部4714和上覆栅极接触通孔4716,连同上覆金属互连4760,它们都设置于层间电介质堆叠体或层4770中。从图47b的透视图中还看到,栅极接触部4714设置在隔离区4706之上,但不在非平面扩散或有源区4704b之上。
[0481]
再次参考图47a和图47b,半导体结构或器件4700a和4700b的布置分别将栅极接触部放置于隔离区之上。这样的布置浪费了布局空间。然而,在有源区之上放置栅极接触部会需要极严格的配准预算,或者栅极尺寸将必须增大以提供足够大的空间来使栅极接触部着陆。此外,从历史上看,一直在避免与扩散区之上的栅极接触,因为存在钻透其它栅极材料(例如,多晶硅)并接触下层有源区的风险。本文描述的一个或多个实施例通过提供用以制造与形成在扩散或有源区之上的栅极电极的部分接触的接触结构的可行方式、以及所得的结构,来解决以上问题。
[0482]
作为示例,图48a示出了根据本公开的实施例的具有设置于栅极电极的有源部分之上的栅极接触通孔的半导体器件的平面图。参考图48a,半导体结构或器件4800a包括设置于衬底4802中并在隔离区4806内的扩散或有源区4804。诸如栅极线4808a、4808b和4808c的一个或多个栅极线设置于扩散或有源区4804之上以及隔离区4806的一部分之上。诸如接触部4810a和4810b的源极或漏极接触部设置于半导体结构或器件4800a的源极和漏极区之上。沟槽接触通孔4812a和4812b分别提供与沟槽接触部4810a和4810b的接触。没有居间单独栅极接触层的栅极接触通孔4816提供了与栅极线4808b的接触。与图47a相比,从平面图的角度看,栅极接触部4816设置在扩散区或有源区4804之上以及源极或漏极接触部4810a和4810b之间。
[0483]
图48b示出了根据本公开的实施例的具有设置于栅极电极的有源部分之上的栅极
接触通孔的非平面半导体器件的截面图。参考图48b,半导体结构或器件4800b(例如图48a的器件4800a的非平面版本)包括从衬底4802形成并在隔离区4806内的非平面扩散或有源区4804b(例如,鳍状物结构)。栅极线4808b设置于非平面扩散或有源区4804b之上以及隔离区4806的一部分之上。如所示,栅极线4808b包括栅极电极4850和栅极电介质层4852,连同电介质帽层4854。从该透视图还可以看到栅极接触通孔4816,连同上覆金属互连4860,两者都设置于层间电介质堆叠体或层4870中。从图48b的透视图还看到,栅极接触通孔4816设置于非平面扩散或有源区4804b之上。
[0484]
于是,再次参考图48a和图48b,在实施例中,沟槽接触通孔4812a、4812b和栅极接触通孔4816形成于同一层中并实质上共面。与图47a和图47b相比,通往栅极线的接触部在其它情况下会包括额外的栅极接触层,例如,其可以垂直于对应的栅极线延伸。然而,在结合图48a和图48b所述的结构中,结构4800a和4800b的制造分别使得接触部能够从有源栅极部分上的金属互连层直接着陆,而不会短接到相邻的源极漏极区。在实施例中,这样的布置通过消除对在隔离区上延伸晶体管栅极以形成可靠接触部的需求而提供了电路布局中的大的面积减小。如本文通篇所用,在实施例中,对栅极的有源部分的引用是指栅极线或结构的设置于(从平面图角度)下层衬底的有源或扩散区之上的那个部分。在实施例中,对栅极的无源部分的引用是指栅极线或结构的设置于(从平面图角度)下层衬底的隔离区之上的那个部分。
[0485]
在实施例中,半导体结构或器件4800是非平面器件,例如但不限于鳍式fet或三栅极器件。在这样的实施例中,对应的半导体沟道区由三维体构成或形成于三维体中。在一个这样的实施例中,栅极线4808a-4808c的栅极电极堆叠体围绕三维体的至少顶表面和一对侧壁。在另一个实施例中,例如在栅极全包围器件中,至少沟道区被制造为分立的三维体。在一个这样的实施例中,栅极线4808a-4808c的栅极电极堆叠体均完全围绕沟道区。
[0486]
更一般地,一个或多个实施例涉及用于在有源晶体管栅极上直接着陆栅极接触通孔的方式以及由其形成的结构。这样的方式可以消除对在隔离区上延伸栅极线以实现接触目的的需求。这样的方式还可以消除对从栅极线或结构传导信号的单独的栅极接触(gcn)层的需求。在实施例中,通过使沟槽接触部(tcn)中的接触金属凹陷并在工艺流中引入额外的电介质材料(例如,tila)来实现以上特征的消除。包括额外的电介质材料作为具有不同于在栅极对准接触工艺(gap)处理方案(例如,gila)中已经用于沟槽接触部对准的栅极电介质材料帽层的蚀刻特性的沟槽接触部电介质帽层。
[0487]
作为示例性制造方案,图49a-图49d示出了根据本公开的实施例的表示制造具有设置于栅极的有源部分之上的栅极接触结构的半导体结构的方法中的各种操作的截面图。
[0488]
参考图49a,在沟槽接触部(tcn)形成之后提供了半导体结构4900。应当认识到,使用结构4900的具体布置仅仅是出于例示的目的,并且各种可能的布局可能受益于本文描述的公开内容的实施例。半导体结构4900包括一个或多个栅极堆叠体结构,例如设置于衬底4902上方的栅极堆叠体结构4908a-4908e。栅极堆叠体结构可以包括栅极电介质层和栅极电极。例如通往衬底4902的扩散区的接触部(例如沟槽接触部4910a-4910c)的沟槽接触部也包括在结构4900中,并由电介质间隔体4920与栅极堆叠体结构4908a-4908e间隔开。绝缘帽层4922可以设置于栅极堆叠体结构4908a-4908e(例如,gila)上,也如图49a所示。也如图49a所示,接触阻挡区或“接触插塞”(例如由层间电介质材料制造的区域4923)可以包括在
要阻挡接触部形成的区域中。
[0489]
在实施例中,提供结构4900涉及形成接触图案,接触图案实质上完美对准到现有的栅极图案,同时消除了具有超级严格的配准预算的光刻操作的使用。在一个这样的实施例中,该方式使得能够使用固有高选择性的湿法蚀刻(例如,与干法或等离子体蚀刻相比)以产生接触开口。在实施例中,通过利用现有的栅极图案结合接触插塞光刻操作来形成接触图案。在一个这样的实施例中,该方式使得能够消除对在其它情况下对产生接触图案至关重要的光刻操作(如在其它方式中所使用的)的需求。在实施例中,沟槽接触网格不是被单独地图案化,而是在多(栅极)线之间形成。例如,在一个这样的实施例中,在栅极栅格图案化之后但在栅极栅格切割之前形成沟槽接触网格。
[0490]
此外,可以通过替换栅极工艺制造栅极堆叠体结构4908a-4908e。在这样的方案中,可以去除诸如多晶硅或氮化硅柱材料的虚设栅极材料,并利用永久栅极电极材料替换。在一个这样的实施例中,永久栅极电介质层也是在该工艺中形成的,与从更早处理执行相反。在实施例中,通过干法蚀刻或湿法蚀刻工艺去除虚设栅极。在一个实施例中,虚设栅极由多晶硅或非晶硅构成并利用包括sf6的干法蚀刻工艺来去除。在另一个实施例中,虚设栅极由多晶硅或非晶硅构成并利用包括水基nh4oh或四乙基氢氧化铵的湿法蚀刻工艺来去除。在一个实施例中,虚设栅极由氮化硅构成并利用包括水基磷酸的湿法蚀刻来去除。
[0491]
在实施例中,本文描述的一种或多种方法实质上想到了虚设栅极和替换栅极工艺结合虚设和替换接触部工艺以实现结构4900。在一个这样的实施例中,在替换栅极工艺之后执行替换接触部工艺,以允许永久栅极堆叠体的至少一部分的高温退火。例如,在具体的这样的实施例中,例如,在形成栅极电介质层之后,在高于大约600摄氏度的温度下执行永久栅极结构的至少一部分的退火。在形成永久接触部之前,执行退火。
[0492]
参考图49b,结构4900的沟槽接触部4910a-4910c被凹陷到间隔体4920内,以提供凹陷的沟槽接触部4911a-4911c,其具有低于间隔体4920和绝缘帽层4922的顶表面的高度。然后在凹陷的沟槽接触部4911a-4911c(例如,tila)上形成绝缘帽层4924。根据本公开的实施例,凹陷的沟槽接触部4911a-4911c上的绝缘帽层4924由具有不同于栅极堆叠体结构4908a-4908e上的绝缘帽层4922的蚀刻特性的材料构成。在后续处理操作中将看出,可以利用这样的差异相对于4922/4924中的一个选择性地蚀刻4922/4924中的另一个。
[0493]
可以通过对间隔体4920和绝缘帽层4922的材料有选择性的工艺使沟槽接触部4910a-4910c凹陷。例如,在一个实施例中,通过诸如湿法蚀刻工艺或干法蚀刻工艺的蚀刻工艺使沟槽接触部4910a-4910c凹陷。可以通过适合在沟槽接触部4910a-4910c的暴露部分上方提供共形且密封的层的工艺来形成绝缘帽层4924。例如,在一个实施例中,通过化学气相沉积(cvd)工艺将绝缘帽层4924形成为整个结构上方的共形层。然后,例如通过化学机械抛光(cmp)对共形层进行平面化,以仅在沟槽接触部4910a-4910c上方提供绝缘帽层4924材料,并重新暴露间隔体4920和绝缘帽层4922。
[0494]
至于用于绝缘帽层4922/4924的适当材料组合,在一个实施例中,4922/4924对中的一个由氧化硅构成,而另一个由氮化硅构成。在另一个实施例中,4922/4924对中的一个由氧化硅构成,而另一个由掺碳氮化硅构成。在另一个实施例中,4922/4924对中的一个由氧化硅构成,而另一个由碳化硅构成。在另一个实施例中,4922/4924对中的一个由氮化硅构成,而另一个由掺碳氮化硅构成。在另一个实施例中,4922/4924对中的一个由氮化硅构
成,而另一个由碳化硅构成。在另一个实施例中,4922/4924对中的一个由掺碳氮化硅构成,而另一个由碳化硅构成。
[0495]
参考图49c,层间电介质(ild)4930和硬掩模4932堆叠体被形成并图案化,以提供例如在图49b的结构上方被图案化的金属(0)沟槽4934。
[0496]
层间电介质(ild)4930可以由适于电隔离最终形成于其中的金属特征、同时在前端和后端处理之间保持鲁棒结构的材料构成。此外,在实施例中,ild 4930的组分被选择为与针对沟槽接触部电介质帽层图案化的通孔蚀刻选择性一致,如下文结合图49d更详细所述。在一个实施例中,ild4930由单一或几层氧化硅或单一或几层掺碳氧化物(cdo)材料构成。然而,在其它实施例中,ild 4930具有双层组分,其顶部由与ild 4930的下层底部部分不同的材料构成。硬掩模层4932可以由适合充当后续牺牲层的材料构成。例如,在一个实施例中,硬掩模层4932大体上由碳构成,例如,作为交联有机聚合物层。在其它实施例中,将氮化硅或掺碳氮化硅层用作硬掩模4932。可以通过光刻和蚀刻工艺对层间电介质(ild)4930和硬掩模4932堆叠体进行图案化。
[0497]
参考图49d,通孔开口4936(例如,vct)形成在层间电介质(ild)4930中,从金属(0)沟槽4934延伸到凹陷的沟槽接触部4911a-4911c中的一个或多个。例如,在图49d中,形成通孔开口以暴露凹陷的沟槽接触部4911a和4911c。形成通孔开口4936包括蚀刻层间电介质(ild)4930和对应绝缘帽层4924的相应部分这两者。在一个这样的实施例中,在对层间电介质(ild)493的图案化期间暴露绝缘帽层4922的一部分(例如,暴露绝缘帽层4922的处于栅极堆叠体结构4908b和4908e之上的部分)。在该实施例中,蚀刻绝缘帽层4924以相对于绝缘帽层4922有选择性地(即,不会显著蚀刻或影响绝缘帽层4922)形成通孔开口4936。
[0498]
在一个实施例中,最终通过蚀刻工艺将通孔开口图案转移到绝缘帽层4924(即,沟槽接触部绝缘帽层)而不蚀刻绝缘帽层4922(即,栅极绝缘帽层)。绝缘帽层4924(tila)可以由包括以下材料中的任何材料或其组合构成:氧化硅、氮化硅、碳化硅、掺碳氮化硅、掺碳氧化硅、非晶硅、各种金属氧化物和硅化物,包括氧化锆、氧化铪、氧化镧或其组合。可以使用包括以下技术中的任何技术来沉积该层:cvd、ald、pecvd、pvd、hdp辅助cvd、低温cvd。对应的等离子体干法蚀刻被发展为化学和物理溅镀机制的组合。可以使用一致聚合物沉积来控制材料去除速率、蚀刻轮廓和膜选择性。干法蚀刻典型地利用气体的混合物来产生,所述气体包括:nf3、chf3、c4f8、hbr和o2,典型的压力在30-100mtorr的范围内且等离子体偏置为50-1000瓦。可以设计干法蚀刻以在帽层4924(tila)和4922(gila)层之间实现显著的蚀刻选择性,以在4929(tila)的干法蚀刻期间使4922(gila)的损失最小化,从而形成通往晶体管的源极/漏极区的接触部。
[0499]
再次参考图49d,要认识到,可以实施类似方式以制造通孔开口图案,该通孔开口图案最终通过蚀刻工艺被转移到绝缘帽层4922(即,沟槽接触部绝缘帽层)而不蚀刻绝缘帽层4924(即,栅极绝缘帽层)。
[0500]
为了进一步举例说明有源栅极之上的接触部(coag)技术的概念,图50示出了根据本公开的实施例的具有包括上覆绝缘帽层的沟槽接触部的集成电路结构的平面图和对应截面图。
[0501]
参考图50,集成电路结构5000包括诸如硅鳍状物的半导体衬底或鳍状物5002上方的栅极线5004。栅极线5004包括栅极堆叠体5005(例如,包括栅极电介质层或堆叠体以及栅
极电介质层或堆叠体上的栅极电极)和栅极堆叠体5005上的栅极绝缘帽层5006。电介质间隔体5008沿栅极堆叠体5005的侧壁,并且在实施例中,沿栅极绝缘帽层5006的侧壁,如所示。
[0502]
沟槽接触部5010与栅极线5004的侧壁相邻,在栅极线5004和沟槽接触部5010之间具有电介质间隔体5008。沟槽接触部5010中的个体沟槽接触部包括导电接触结构5011和导电接触结构5011上的沟槽接触部绝缘帽层5012。
[0503]
再次参考图50,栅极接触通孔5014形成于栅极绝缘帽层5006的开口中并电接触栅极堆叠体5005。在实施例中,栅极接触通孔5014在半导体衬底或鳍状物5002之上且横向位于沟槽接触部5010之间的位置处电接触栅极堆叠体5005,如所示。在一个这样的实施例中,导电接触结构5011上的沟槽接触部绝缘帽层5012防止栅极接触通孔5014将栅极短接到源极或将栅极短接到漏极。
[0504]
再次参考图50,沟槽接触通孔5016形成于沟槽接触部绝缘帽层5012的开口中并电接触相应的导电接触结构5011。在实施例中,沟槽接触通孔5016在半导体衬底或鳍状物5002之上且横向与栅极线5004的栅极堆叠体5005相邻的位置处电接触相应的导电接触结构5011,如所示。在一个这样的实施例中,栅极堆叠体5005上的栅极绝缘帽层5006防止沟槽接触通孔5016将源极短接到栅极或将漏极短接到栅极。
[0505]
应当认识到,可以制造绝缘栅极帽层和绝缘沟槽接触部帽层之间的不同结构关系。作为示例,图51a-图51f示出了根据本公开的实施例的各种集成电路结构的截面图,每种集成电路结构具有包括上覆绝缘帽层的沟槽接触部并具有包括上覆绝缘帽层的栅极堆叠体。
[0506]
参考图51a、图51b和图51c,集成电路结构5100a、5100b和5100c分别包括鳍状物5102,例如硅鳍状物。尽管被示为截面图,但要认识到,鳍状物5102具有顶部5102a和侧壁(进出所示透视图的页面)。第一5104和第二5106栅极电介质层在鳍状物5102的顶部5102a之上并与鳍状物5102的侧壁横向相邻。第一5108和第二5110栅极电极分别在第一5104和第二5106栅极电介质层之上,在鳍状物5102的顶部5102a之上并与鳍状物5102的侧壁横向相邻。第一5108和第二5110栅极电极均包括共形导电层5109a(例如功函数设置层)、以及共形导电层5109a上方的导电填充材料5109b。第一5108和第二5110栅极电极都具有第一侧5112和与第一侧5112相对的第二侧5114。第一5108和第二5110栅极电极还都具有绝缘帽5116,该绝缘帽5116具有顶表面5118。
[0507]
第一电介质间隔体5120与第一栅极电极5108的第一侧5112相邻。第二电介质间隔体5122与第二栅极电极5110的第二侧5114相邻。半导体源极或漏极区5124与第一5120和第二5122电介质间隔体相邻。沟槽接触结构5126在与第一5120和第二5122电介质间隔体相邻的半导体源极或漏极区5124之上。
[0508]
沟槽接触结构5126包括导电结构5130上的绝缘帽5128。沟槽接触结构5126的绝缘帽5128具有大体上与第一5108和第二5110栅极电极的绝缘帽5116的顶表面5118共面的顶表面5129。在实施例中,沟槽接触结构5126的绝缘帽5128横向延伸到第一5120和第二5122电介质间隔体中的凹陷5132中。在这样的实施例中,沟槽接触结构5126的绝缘帽5128悬置于沟槽接触结构5126的导电结构5130上方。然而,在其它实施例中,沟槽接触结构5126的绝缘帽5128未横向延伸到第一5120和第二5122电介质间隔体中的凹陷5132中,并且因此不悬
置于沟槽接触结构5126的导电结构5130上方。
[0509]
应当认识到,沟槽接触结构5126的导电结构5130可以不是矩形的,如图51a-图51c中所示。例如,沟槽接触结构5126的导电结构5130可以具有与图51a的投影中所示的针对导电结构5130a所示的几何形状相似或相同的截面几何形状。
[0510]
在实施例中,沟槽接触结构5126的绝缘帽5128具有与第一5108和第二5110栅极电极的绝缘帽5116的组分不同的组分。在一个这样的实施例中,沟槽接触结构5126的绝缘帽5128包括碳化物材料,例如碳化硅材料。第一5108和第二5110栅极电极的绝缘帽5116包括氮化物材料,例如氮化硅材料。
[0511]
在实施例中,第一5108和第二5110栅极电极的绝缘帽5116都具有低于沟槽接触结构5126的绝缘帽5128的底表面5128a的底表面5117a,如图51a所示。在另一实施例中,第一5108和第二5110栅极电极的绝缘帽5116都具有与沟槽接触结构5126的绝缘帽5128的底表面5128b大体上共面的底表面5117a,如图51b所示。在另一实施例中,第一5108和第二5110栅极电极的绝缘帽5116都具有高于沟槽接触结构5126的绝缘帽5128的底表面5128c的底表面5117c,如图51c所示。
[0512]
在实施例中,沟槽接触结构5128的导电结构5130包括u形金属层5134、在u形金属层5134的整体上和之上的t形金属层5136、以及t形金属层5136上的第三金属层5138。沟槽接触结构5126的绝缘帽5128在第三金属层5138上。在一个这样的实施例中,第三金属层5138和u形金属层5134包括钛,并且t形金属层5136包括钴。在特定的这样的实施例中,t形金属层5136还包括碳。
[0513]
在实施例中,金属硅化物层5140直接在沟槽接触结构5126的导电结构5130和半导体源极或漏极区5124之间。在一个这样的实施例中,金属硅化物层5140包括钛和硅。在特定的这种实施例中,半导体源极或漏极区5124是n型半导体源极或漏极区。在另一个实施例中,金属硅化物层5140包括镍、铂和硅。在特定的这种实施例中,半导体源极或漏极区5124是p型半导体源极或漏极区。在另一个特定的这种实施例中,金属硅化物层还包括锗。
[0514]
在实施例中,参考图51d,导电通孔5150在第一栅极电极5108的处于鳍状物5102的顶部5102a之上的部分上并电连接到该部分。导电通孔5150在第一栅极电极5108的绝缘帽5116中的开口5152中。在一个这样的实施例中,导电通孔5150在沟槽接触结构5126的绝缘帽5128的一部分上,但不电连接到沟槽接触结构5126的导电结构5130。在特定的这种实施例中,导电通孔5150在沟槽接触结构5126的绝缘帽5128的被腐蚀部分5154中。
[0515]
在实施例中,参考图51e,导电通孔5160在沟槽接触结构5126的一部分上并电连接到该部分。导电通孔在沟槽接触结构5126的绝缘帽5128的开口5162中。在一个这样的实施例中,导电通孔5160在第一5108和第二5110栅极电极的绝缘帽5116的一部分上,但不电连接到第一5108和第二5110栅极电极。在特定的这种实施例中,导电通孔5160在第一5108和第二5110栅极电极的绝缘帽5116的被腐蚀部分5164中。
[0516]
再次参考图51e,在实施例中,导电通孔5160是与图51d的导电通孔5150具有相同结构的第二导电通孔。在一个这样的实施例中,这样的第二导电通孔5160与导电通孔5150隔离开。在另一个这样的实施例中,这样的第二导电通孔5160与导电通孔5150融合,以形成电短接接触部5170,如图51f所示。
[0517]
本文描述的方式和结构可以使得能够形成使用其它方法不可能或难以制造的其
它结构或器件。在第一示例中,图52a示出了根据本公开的另一实施例的具有设置于栅极的有源部分之上的栅极接触通孔的另一半导体器件的平面图。参考图52a,半导体结构或器件5200包括与多个沟槽接触部5210a和5210b(这些特征设置于衬底的有源区上方,未示出)互相交叉的多个栅极结构5208a-5208c。栅极接触通孔5280形成于栅极结构5208b的有源部分上。栅极接触通孔5280还设置于栅极结构5208c的有源部分上,耦合栅极结构5208b和5208c。应当认识到,可以使用沟槽接触部隔离帽层(例如,tila)将居间沟槽接触部5210b与接触部5280隔离。图52a的接触部构造可以提供在布局中捆扎相邻栅极线的更容易的方式,而无需通过金属化的上层对捆扎线进行布线,因此能够实现更小的单元面积或复杂性更小的线路方案或两者。
[0518]
在第二示例中,图52b示出了根据本公开的另一实施例的具有耦合一对沟槽接触部的沟槽接触通孔的另一半导体器件的平面图。参考图52b,半导体结构或器件5250包括与多个沟槽接触部5260a和5260b(这些特征设置于衬底的有源区上方,未示出)互相交叉的多个栅极结构5258a-5258c。沟槽接触通孔5290形成于沟槽接触部5260a上。沟槽接触通孔5290还设置于沟槽接触部5260b上,耦合沟槽接触部5260a和5260b。应当认识到,可以使用栅极隔离帽层(例如,通过gila工艺)将居间栅极结构5258b与沟槽接触通孔5290隔离。图52b的接触部构造可以提供在布局中捆扎相邻沟槽接触部的更容易的方式,而无需通过金属化的上层对捆扎线进行布线,因此能够实现更小的单元面积或复杂性更小的线路方案或两者。
[0519]
可以使用若干沉积操作制造用于栅极电极的绝缘帽层,并且结果,该绝缘帽层可以包括多次沉积制造工艺的人工制品。例如,图53a-图53e示出了根据本公开的实施例的表示制造包括具有上覆绝缘帽层的栅极堆叠体的集成电路结构的方法中的各种操作的截面图。
[0520]
参考图53a,起始结构5300包括衬底或鳍状物5302上方的栅极堆叠体5304。栅极堆叠体5304包括栅极电介质层5306、共形导电层5308和导电填充材料5310。在实施例中,栅极电介质层5306是使用原子层沉积(ald)工艺形成的高k栅极电介质层,并且共形导电层是使用ald工艺形成的功函数层。在一个这样的实施例中,诸如热或化学二氧化硅或氧化硅层的热或化学氧化物层5312在衬底或鳍状物5302和栅极电介质层5306之间。诸如氮化硅间隔体的电介质间隔体5314与栅极堆叠体5304的侧壁相邻。电介质栅极堆叠体5304和电介质间隔体5314容纳于层间电介质(ild)层5316中。在实施例中,使用替换栅极和替换栅极电介质处理方案形成栅极堆叠体5304。在栅极堆叠体5304和ild层5316上方对掩模5318进行图案化,以提供暴露栅极堆叠体5304的开口5320。
[0521]
参考图53b,使用一种或多种选择性蚀刻工艺,相对于电介质间隔体5314和层5316使包括栅极电介质层5306、共形导电层5308和导电填充材料5310的栅极堆叠体5304凹陷。然后去除掩模5318。凹陷提供了凹陷的栅极堆叠体5324上方的腔体5322。
[0522]
在未示出的另一个实施例中,相对于电介质间隔体5314和层5316使共形导电层5308和导电填充材料5310凹陷,但不使栅极电介质层5306凹陷或仅使栅极电介质层5306最小限度的凹陷。应当认识到,在其它实施例中,基于高度蚀刻选择性的无掩模方式用于凹陷。
[0523]
参考图53c,执行用于制造栅极绝缘帽层的多次沉积工艺中的第一沉积工艺。第一
沉积工艺用于形成与图53b的结构共形的第一绝缘层5326。在实施例中,第一绝缘层5326包括硅和氮,例如,第一绝缘层5326是氮化硅(si3n4)层、富硅氮化硅层、贫硅氮化硅层、或掺碳氮化硅层。在实施例中,第一绝缘层5326仅部分填充处于凹陷的栅极堆叠体5324上方的腔体5322,如所示。
[0524]
参考图53d,第一绝缘层5326经受深蚀刻工艺,例如各向异性蚀刻工艺,以提供绝缘帽层的第一部分5328。绝缘帽层的第一部分5328仅部分填充凹陷的栅极堆叠体5324上方的腔体5322。
[0525]
参考图53e,执行额外的交替的沉积工艺和深蚀刻工艺,直到利用凹陷的栅极堆叠体5324上方的绝缘栅极帽结构5330填充腔体5322为止。在截面分析中,接缝5332可能很明显,并可以指示用于绝缘栅极帽结构5330的交替的沉积工艺和深蚀刻工艺的数量。在图53e中所示的示例中,三组接缝5332a、5332b和5332c的存在指示用于绝缘栅极帽结构5330的四次交替沉积工艺和深蚀刻工艺。在实施例中,由接缝5332分隔的绝缘栅极帽结构5330的材料5330a、5330b、5330c和5330d全部具有精确或大致相同的组分。
[0526]
如整个本技术中所述,衬底可以由能够耐受制造工艺并且在其中电荷能够迁移的半导体材料构成。在实施例中,在本文中将衬底描述为由晶体硅、掺有电荷载流子的硅/锗或锗层构成,载流子例如但不限于磷、砷、硼或其组合,以形成有源区。在一个实施例中,这样的体衬底中的硅原子的浓度大于97%。在另一个实施例中,体衬底由生长于不同晶体衬底顶部的外延层构成,例如生长于掺硼体硅单晶体衬底顶部的硅外延层。体衬底替代地可以由iii-v族材料构成。在实施例中,体衬底由iii-v材料构成,例如但不限于氮化镓、磷化镓、砷化镓、磷化铟、锑化铟、砷化铟镓、砷化铝镓、磷化铟镓或其组合。在一个实施例中,体衬底由iii-v材料构成,并且电荷载流子掺杂剂杂质原子是例如但不限于碳、硅、锗、氧、硫、硒或碲的原子。
[0527]
如整个本技术中所述,诸如浅沟槽隔离区或子鳍状物隔离区的隔离区可以由适于最终将永久栅极结构的部分与下层体衬底隔离或对隔离有贡献、或将形成在下层体衬底内的有源区隔离(例如将鳍状物有源区隔离)的材料构成。例如,在一个实施例中,隔离区由一层或多层电介质材料构成,电介质材料例如但不限于二氧化硅、氮氧化硅、氮化硅、掺碳氮化硅或其组合。
[0528]
如整个本技术中所述,栅极线或栅极结构可以由栅极电极堆叠体构成,栅极电极堆叠体包括栅极电介质层和栅极电极层。在实施例中,栅极电极堆叠体的栅极电极由金属栅极构成,并且栅极电介质层由高k材料构成。例如,在一个实施例中,栅极电介质层由诸如但不限于氧化铪、氮氧化铪、硅酸铪、氧化镧、氧化锆、硅酸锆、氧化钽、钛酸钡锶、钛酸钡、钛酸锶、氧化钇、氧化铝、氧化铅钪钛、铌酸铅锌或其组合的材料构成。此外,栅极电介质层的一部分可以包括由半导体衬底的顶部几层形成的原生氧化物层。在实施例中,栅极电介质层由顶部高k部分和由半导体材料的氧化物构成的下部部分构成。在一个实施例中,栅极电介质层由氧化铪的顶部部分和二氧化硅或氮氧化硅的底部部分构成。在一些实施方式中,栅极电介质的一部分是“u”形结构,该u形结构包括大体上平行于衬底的表面的底部部分以及大体上垂直于衬底的顶表面的两个侧壁部分。
[0529]
在一个实施例中,栅极电极由金属层构成,金属层例如但不限于金属氮化物、金属碳化物、金属硅化物、金属铝化物、铪、锆、钛、钽、铝、钌、钯、铂、钴、镍或导电金属氧化物。在
具体实施例中,栅极电极由金属功函数设置层上方形成的非功函数设置填充材料构成。取决于晶体管为pmos还是nmos晶体管,栅极电极层可以由p型功函数金属或n型功函数金属构成。在一些实施方式中,栅极电极层可以由两个或更多金属层的堆叠体构成,其中一个或多个金属层是功函数金属层,并且至少一个金属层是导电填充层。对于pmos晶体管,可以用于栅极电极的金属包括但不限于钌、钯、铂、钴、镍和导电金属氧化物,例如氧化钌。p型金属层将使得能够形成具有介于大约4.9ev和大约5.2ev之间的功函数的pmos栅极电极。对于nmos晶体管,可以用于栅极电极的金属包括但不限于铪、锆、钛、钽、铝、这些金属的合金、以及这些金属的碳化物,例如碳化铪、碳化锆、碳化钛、碳化钽和碳化铝。n型金属层将使得能够形成具有介于大约3.9ev和大约4.2ev之间的功函数的nmos栅极电极。在一些实施方式中,栅极电极可以由“u”形结构构成,该u形结构包括大体上平行于衬底表面的底部部分以及大体上垂直于衬底顶表面的两个侧壁部分。在另一实施方式中,形成栅极电极的金属层中的至少一个可以简单地是大体上平行于衬底顶表面的平面层,并且不包括大体上垂直于衬底顶表面的侧壁部分。在本公开的其它实施方式中,栅极电极可以由u形结构和平面非u形结构的组合构成。例如,栅极电极可以由在一个或多个平面非u形层的顶部形成的一个或多个u形金属层构成。
[0530]
如整个本技术中所述,与栅极线或电极堆叠体相关联的间隔体可以由适于最终将永久栅极结构与相邻导电接触部(例如自对准接触部)电隔离或对隔离做出贡献的材料构成。例如,在一个实施例中,间隔体由电介质材料构成,电介质材料例如但不限于二氧化硅、氮氧化硅、氮化硅或掺碳氮化硅。
[0531]
在实施例中,本文描述的方法可以涉及形成接触图案,该接触图案非常好地对准到现有的栅极图案,同时消除了具有超级严格的配准预算的光刻操作的使用。在一个这样的实施例中,该方式使得能够使用固有高选择性的湿法蚀刻(例如,与干法或等离子体蚀刻相比)以产生接触开口。在实施例中,通过利用现有的栅极图案结合接触插塞光刻操作来形成接触图案。在一个这样的实施例中,该方式使得能够消除对在其它情况下对产生接触图案至关重要的光刻操作(如在其它方式中所使用的)的需求。在实施例中,沟槽接触网格不是被单独地图案化,而是在多(栅极)线之间形成。例如,在一个这样的实施例中,在栅极栅格图案化之后但在栅极栅格切割之前形成沟槽接触网格。
[0532]
此外,可以通过替换栅极工艺制造栅极堆叠体结构。在这样的方案中,可以去除诸如多晶硅或氮化硅柱材料的虚设栅极材料,并利用永久栅极电极材料替换。在一个这样的实施例中,永久栅极电介质层也是在该工艺中形成的,与从更早处理执行相反。在实施例中,通过干法蚀刻或湿法蚀刻工艺去除虚设栅极。在一个实施例中,虚设栅极由多晶硅或非晶硅构成并利用包括使用sf6的干法蚀刻工艺来去除。在另一个实施例中,虚设栅极由多晶硅或非晶硅构成并利用包括使用水基nh4oh或四乙基氢氧化铵的湿法蚀刻工艺来去除。在一个实施例中,虚设栅极由氮化硅构成并利用包括水基磷酸的湿法蚀刻来去除。
[0533]
在实施例中,本文描述的一种或多种方法实质上想到了虚设栅极和替换栅极工艺结合虚设和替换接触部工艺以实现结构。在一个这样的实施例中,在替换栅极工艺之后执行替换接触部工艺,以允许永久栅极堆叠体的至少一部分的高温退火。例如,在具体的这样的实施例中,例如,在形成栅极电介质层之后,在高于大约600摄氏度的温度下执行永久栅极结构的至少一部分的退火。在形成永久接触部之前,执行退火。
[0534]
在一些实施例中,半导体结构或器件的布置在栅极线的部分之上放置栅极接触部,或者在隔离区之上放置栅极堆叠体。然而,可以将这样的布置视为对布局空间的不充分使用。在另一个实施例中,半导体器件具有接触结构,其接触形成于有源区之上的栅极电极的部分。通常,在栅极的有源部分之上形成栅极接触结构(例如,通孔)之前(例如,除此之外)并且在与沟槽接触通孔相同的层中,本公开的一个或多个实施例包括首先使用栅极对准的沟槽接触工艺。可以实施这样的工艺以形成用于半导体结构制造(例如,用于集成电路制造)的沟槽接触结构。在实施例中,沟槽接触图案被形成为与现有栅极图案对准。相比之下,其它方式通常涉及利用光刻接触图案与现有栅极图案的严格配准的额外光刻工艺结合选择性接触部蚀刻。例如,另一种工艺可以包括对具有接触特征单独图案化的多(栅极)网格的图案化。
[0535]
应当认识到,并非需要实践上述工艺的所有方面才落入本公开的实施例的精神和范围内。例如,在一个实施例中,虚设栅极不需要始终在制造栅极堆叠体的有源部分之上的栅极接触部之前形成。上述栅极堆叠体可能实际是初始形成的永久栅极堆叠体。而且,可以使用本文所述的工艺制造一种或多种半导体器件。半导体器件可以是晶体管或类似器件。例如,在实施例中,半导体器件是用于逻辑或存储器的金属氧化物半导体(mos)晶体管,或者是双极晶体管。而且,在实施例中,半导体器件具有三维架构,例如三栅极器件、独立访问的双栅极器件、或fin-fet。一个或多个实施例可能对于在10纳米(10nm)技术节点、亚10纳米(10nm)技术节点制造半导体器件特别有用。
[0536]
feol层或结构制造的额外或中间操作可以包括标准微电子制造工艺,例如光刻、蚀刻、薄膜沉积、平面化(例如,化学机械抛光(cmp))、扩散、计量、牺牲层的使用、蚀刻停止层的使用、平面化停止层的使用、或与微电子部件制造相关联的任何其它动作。而且,应当认识到,可以按照替代次序实践针对前面的工艺流所述的工艺操作,并非需要执行每个操作,或者可以执行额外的工艺操作,或者两者。
[0537]
应当认识到,在以上示例性feol实施例中,在实施例中,直接向制造方案和所得结构中实施10纳米或亚10纳米节点处理作为技术驱动力。在其它实施例中,feol考虑可以受到beol 10纳米或亚10纳米处理要求的驱动。例如,feol层和器件的材料选择和布局可能需要适应beol处理。在一个这样的实施例中,材料选择和栅极堆叠体架构被选择为适应beol层的高密度金属化,例如,以减小形成在feol层中但通过beol层的高密度金属化耦合在一起的晶体管结构中的边缘电容。
[0538]
集成电路的后段工艺(beol)层通常包括在现有技术中被称为通孔的导电微电子结构,以将通孔上方的金属线或其它互连电连接到通孔下方的金属线或其它互连。通孔可以通过光刻工艺形成。代表性地,可以在电介质层之上旋涂光致抗蚀剂层,可以通过图案化掩模将光致抗蚀剂层暴露于图案化的光化学辐射,并且然后可以对曝光层显影,以便在光致抗蚀剂层中形成开口。接下来,可以使用光致抗蚀剂层中的开口作为蚀刻掩模来在电介质层中蚀刻用于通孔的开口。该开口被称为通孔开口。最后,可以利用一种或多种金属或其它导电材料填充通孔开口以形成通孔。
[0539]
通孔的尺寸和间隔已经被逐渐减小,并且预计在将来,对于至少一些类型的集成电路(例如,高级微处理器、芯片组部件、图形芯片等)而言,通孔的尺寸和间隔将继续逐渐减小。在通过这样的光刻工艺以极小间距对极小通孔进行图案化时,自身存在若干挑战。一
个这种挑战是通孔和上覆互连之间的重叠,以及通孔和下方着陆互连之间的重叠,它们通常需要被控制到通孔间距的四分之一的量级的高容限。通孔间距可以随着时间缩放到更小,重叠容限往往会以光刻设备能够跟上的更大速率随之缩放。
[0540]
另一个这种挑战是通孔开口的临界尺寸通常趋向于比光刻扫描机的分辨能力更快地缩放。存在一些收缩技术以用于收缩通孔开口的临界尺寸。然而,收缩量趋向于受到最小通孔间距以及收缩工艺为充分光学邻近校正(opc)中性且不会显著影响线宽粗糙度(lwr)或临界尺寸均匀性(cdu)或这两者的能力的限制。又一个这种挑战是光致抗蚀剂的lwr或cdu特性或这两者通常需要随着通孔开口的临界尺寸减小而提高,以便保持临界尺寸预算的相同总体分数。
[0541]
以上因素对于考虑金属线之间的非导电空间或中断(被称为后段工艺(beol)金属互连结构的金属线之中的“插塞”、“电介质插塞”或“金属线端部”)的放置和缩放也是相关的。于是,在用于制造金属线、金属通孔和电介质插塞的后段金属化制造技术领域中需要改进。
[0542]
在另一方面中,实施间距四分方法以用于在电介质层中图案化出沟槽,以用于形成beol互连结构。根据本公开的实施例,应用间距划分以在beol制造方案中制造金属线。实施例可以实现金属层的间距的连续缩放,缩放到超过现有技术光刻设备的分辨能力。
[0543]
图54是根据本公开的实施例的用于制造互连结构的沟槽的间距四分方式5400的示意图。
[0544]
参考图54,在操作(a),使用直接光刻形成骨干特征5402。例如,可以对光致抗蚀剂层或堆叠体进行图案化,并将图案转移到硬掩模材料中,以最终形成骨干特征5402。可以使用诸如193浸入光刻的标准光刻处理技术对用于形成骨干特征5402的光致抗蚀剂层或堆叠体进行图案化。然后形成与骨干特征5402的侧壁相邻的第一间隔体特征5404。
[0545]
在操作(b),去除骨干特征5402以仅留下第一间隔体特征5404。在该阶段,第一间隔体特征5404实际为半间距掩模,例如,代表间距减半工艺。第一间隔体特征5404可以直接用于间距四分工艺,或者可以首先将第一间隔体特征5404的图案转移到新的硬掩模材料中,此处绘示了后一种方式。
[0546]
在操作(c),将第一间隔体特征5404的图案转移到新的硬掩模材料中以形成第一间隔体特征5404’。然后形成与第一间隔体特征5404’的侧壁相邻的第二间隔体特征5406。
[0547]
在操作(d),去除第一间隔体特征5404’以仅留下第二间隔体特征5406。在该阶段,第二间隔体特征5406实际为四分之一间距掩模,例如,代表间距四分工艺。
[0548]
在操作(e),将第二间隔体特征5406用作掩模以在电介质或硬掩模层中图案化出多个沟槽5408。最终可以利用导电材料填充沟槽,以在集成电路的金属化层中形成导电互连。具有标记“b”的沟槽5408对应于骨干特征5402。具有标记“s”的沟槽5408对应于第一间隔体特征5404或5404’。具有标记“c”的沟槽5408对应于骨干特征5402之间的互补区5407。
[0549]
应当认识到,由于图54的沟槽5408中的个体沟槽具有对应于图54的骨干特征5402、第一间隔体特征5404或5404’或互补区5407之一的图案化原点,这种特征的宽度和/或间距的差异可能表现为集成电路的金属化层中最终形成的导电互连中的间距四分工艺的人工制品。作为示例,图55a示出了根据本公开的实施例的使用间距四分方案制造的金属化层的截面图。
[0550]
参考图55a,集成电路结构5500包括衬底5502上方的层间电介质(ild)层5504。多个导电互连线5506在ild层5504中,并且多个导电互连线5506的中个体导电互连线被ild层5504的部分彼此间隔开。多个导电互连线5506中的个体导电互连线包括导电阻挡层5508和导电填充材料5510。
[0551]
参考图54和图55a,导电互连线5506b形成于沟槽中,具有源自骨干特征5402的图案。导电互连线5506s形成于沟槽中,具有源自第一间隔体特征5404或5404’的图案。导电互连线5506c形成于沟槽中,具有源自骨干特征5402之间的互补区5407的图案。
[0552]
再次参考图55a,在实施例中,多个导电互连线5506包括具有宽度(w1)的第一互连线5506b。第二互连线5506s紧邻第一互连线5506b,第二互连线5506s具有与第一互连线5506b的宽度(w1)不同的宽度(w2)。第三互连线5506c紧邻第二互连线5506s,第三互连线5506c具有宽度(w3)。第四互连线(第二5506s)紧邻第三互连线5506c,第四互连线具有与第二互连线5506s的宽度(w2)相同的宽度(w2)。第五互连线(第二5506b)紧邻第四互连线(第二5506s),第五互连线(第二5506b)具有与第一互连线5506b的宽度(w1)相同的宽度(w1)。
[0553]
在实施例中,第三互连线5506c的宽度(w3)与第一互连线5506b的宽度(w1)不同。5在一个这样的实施例中,第三互连线5506c的宽度(w3)与第二互连线5506s的宽度(w2)不同。在另一个这样的实施例中,第三互连线5506c的宽度(w3)与第二互连线5506s的宽度(w2)相同。在另一个实施例中,第三互连线5506c的宽度(w3)与第一互连线5506b的宽度(w1)相同。
[0554]
在实施例中,第一互连线5506b和第三互连线5506c之间的间距(p1)与第二互连线5506s和第四互连线(第二5506s)之间的间距(p2)相同。在另一个实施例中,第一互连线5506b和第三互连线5506c之间的间距(p1)与第二互连线5506s和第四互连线(第二5506s)之间的间距(p2)不同。
[0555]
再次参考图55a,在另一实施例中,多个导电互连线5506包括具有宽度(w1)的第一互连线5506b。第二互连线5506s紧邻第一互连线5506b,第二互连线5506s具有宽度(w2)。第三互连线5506c紧邻第二互连线5506s,第三互连线5506s具有与第一互连线5506b的宽度(w1)不同的宽度(w3)。第四互连线(第二5506s)紧邻第三互连线5506c,第四互连线具有与第二互连线5506s的宽度(w2)相同的宽度(w2)。第五互连线(第二5506b)紧邻第四互连线(第二5506s),第五互连线(第二5506b)具有与第一互连线5506b的宽度(w1)相同的宽度(w1)。
[0556]
在实施例中,第二互连线5506s的宽度(w2)与第一互连线5506b的宽度(w1)不同。在一个这样的实施例中,第三互连线5506c的宽度(w3)与第二互连线5506s的宽度(w2)不同。在另一个这样的实施例中,第三互连线5506c的宽度(w3)与第二互连线5506s的宽度(w2)相同。
[0557]
在实施例中,第二互连线5506s的宽度(w2)与第一互连线5506b的宽度(w1)相同。在实施例中,第一互连线5506b和第三互连线5506c之间的间距(p1)与第二互连线5506s和第四互连线(第二5506s)之间的间距(p2)相同。在实施例中,第一互连线5506b和第三互连线5506c之间的间距(p1)与第二互连线5506s和第四互连线(第二5506s)之间的间距(p2)不同。
[0558]
图55b示出了根据本公开的实施例的在使用间距四分方案制造的金属化层上方的
使用间距减半方案制造的金属化层的截面图。
[0559]
参考图55b,集成电路结构5550包括衬底5552上方的第一层间电介质(ild)层5554。第一多个导电互连线5556在第一ild层5554中,并且第一多个导电互连线5556中的个体导电互连线被第一ild层5554的部分彼此间隔开。多个导电互连线5556中的个体导电互连线包括导电阻挡层5558和导电填充材料5560。集成电路结构5550还包括衬底5552上方的第二层间电介质(ild)层5574。第二多个导电互连线5576在第二ild层5574中,并且第二多个导电互连线5576中的个体导电互连线被第二ild层5574的部分彼此间隔开。多个导电互连线5576中的个体导电互连线包括导电阻挡层5578和导电填充材料5580。
[0560]
根据本公开的实施例,再次参考图55b,制造集成电路结构的方法包括在衬底5552上方的第一层间电介质(ild)层5554中形成由第一层间电介质(ild)层5554间隔开的第一多个导电互连线5556。使用基于间隔体的间距四分工艺(例如,结合图54的操作(a)-(e)描述的方式)形成第一多个导电互连线5556。在第一ild层5554上方的第二ild层5574中形成由第二ild层5574间隔开的第二多个导电互连线5576。使用基于间隔体的间距减半工艺(例如,结合图54的操作(a)和(b)描述的方式)形成第二多个导电互连线5576。
[0561]
在实施例中,第一多个导电互连线5556在紧邻线之间具有40纳米的间距(p1)。第二多个导电互连线5576在紧邻线之间具有44纳米或更大的间距(p2)。在实施例中,基于间隔体的间距四分工艺和基于间隔体的间距减半工艺基于浸入193nm光刻工艺。
[0562]
在实施例中,第一多个导电互连线5554中的个体导电互连线包括第一导电阻挡衬层5558和第一导电填充材料5560。第二多个导电互连线5556中的个体导电互连线包括第二导电阻挡衬层5578和第二导电填充材料5580。在一个这样的实施例中,第一导电填充材料5560的组分与第二导电填充材料5580不同。在另一个实施例中,第一导电填充材料5560的组分与第二导电填充材料5580相同。
[0563]
尽管未示出,但在实施例中,该方法还包括在第二ild层5574上方的第三ild层中形成由第三ild层间隔开的第三多个导电互连线。第三多个导电互连线不是使用间距划分形成的。
[0564]
尽管未示出,但在实施例中,该方法还包括,在形成第二多个导电互连线5576之前,在第一ild层5554上方的第三ild层中形成由第三ild层间隔开的第三多个导电互连线。使用基于间隔体的间距四分工艺来形成第三多个导电互连线。在一个这样的实施例中,在形成第二多个导电互连线5576之后,在第二ild层5574上方的第四ild层中形成由第四ild层间隔开的第四多个导电互连线。使用基于间隔体的间距减半工艺来形成第四多个导电互连线。在实施例中,这样的方法还包括在第四ild层上方的第五ild层中形成由第五ild层间隔开的第五多个导电互连线,使用基于间隔体的间距减半工艺形成第五多个导电互连线。然后在第五ild层上方的第六ild层中形成由第六ild层间隔开的第六多个导电互连线,使用基于间隔体的间距减半工艺形成第六多个导电互连线。然后在第六ild层上方的第七ild层中形成由第七ild层间隔开的第七多个导电互连线。第七多个导电互连线不是使用间距划分形成的。
[0565]
在另一方面中,金属线组分在金属化层之间变化。这样的布置可以称为异质金属化层。在实施例中,将铜用作较大互连线的导电填充材料,而将钴用作较小互连线的导电填充材料。以钴作为填充材料的较小线可以提供减小的电迁移,同时保持低电阻率。为较小互
连线使用钴替代铜可以解决有关缩放铜线的问题,其中导电阻挡层消耗更大量的互连体积,并减少了铜,这实质上妨碍了正常情况下与铜互连线相关联的优点。
[0566]
在第一示例中,图56a示出了根据本公开的实施例的其中具有一种金属线组分的金属化层处于具有不同金属线组分的金属化层上方的集成电路结构的截面图。
[0567]
参考图56a,集成电路结构5600包括处于衬底5602上方的第一层间电介质(ild)层5604中并由第一层间电介质(ild)层5604间隔开的第一多个导电互连线5606。导电互连线5606a之一被示为具有下层通孔5607。第一多个导电互连线5606中的个体导电互连线包括沿第一导电填充材料5610的侧壁和底部的第一导电阻挡材料5608。
[0568]
第二多个导电互连线5616在第一ild层5604上方的第二ild层5614中并由第二ild层5614间隔开。导电互连线5616a之一被示为具有下层通孔5617。第二多个导电互连线5616中的个体导电互连线包括沿第二导电填充材料5620的侧壁和底部的第二导电阻挡材料5618。第二导电填充材料5620的组分与第一导电填充材料5610不同。
[0569]
在实施例中,第二导电填充材料5620实质上由铜构成,并且第一导电填充材料5610实质上由钴构成。在一个这样的实施例中,第一导电阻挡材料5608的组分与第二导电阻挡材料5618不同。在另一个这样的实施例中,第一导电阻挡材料5608的组分与第二导电阻挡材料5618相同。
[0570]
在实施例中,第一导电填充材料5610包括具有第一浓度的掺杂剂杂质原子的铜,并且第二导电填充材料5620包括具有第二浓度的掺杂剂杂质原子的铜。掺杂剂杂质原子的第二浓度小于掺杂剂杂质原子的第一浓度。在一个这样的实施例中,掺杂剂杂质原子是从铝(al)和锰(mn)构成的组中选择的。在实施例中,第一导电阻挡材料5610和第二导电阻挡材料5620具有相同组分。在实施例中,第一导电阻挡材料5610和第二导电阻挡材料5620具有不同组分。
[0571]
再次参考图56a,第二ild层5614在蚀刻停止层5622上。导电通孔5617在第二ild层5614中并在蚀刻停止层5622的开口中。在实施例中,第一和第二ild层5604和5614包括硅、碳和氧,并且蚀刻停止层5622包括硅和氮。在实施例中,第一多个导电互连线5606中的个体导电互连线具有第一宽度(w1),并且第二多个导电互连线5616中的个体导电互连线具有大于第一宽度(w1)的第二宽度(w2)。
[0572]
在第二示例中,图56b示出了根据本公开的实施例的其中具有一种金属线组分的金属化层耦合到具有不同金属线组分的金属化层的集成电路结构的截面图。
[0573]
参考图56b,集成电路结构5650包括处于衬底5652上方的第一层间电介质(ild)层5654中由第一层间电介质(ild)层5654间隔开的第一多个导电互连线5656。导电互连线5656a之一被示为具有下层通孔5657。第一多个导电互连线5656中的个体导电互连线包括沿第一导电填充材料5660的侧壁和底部的第一导电阻挡材料5658。
[0574]
第二多个导电互连线5666处于第一ild层5654上方的第二ild层5664中并由第二ild层5664间隔开。导电互连线5666a之一被示为具有下层通孔5667。第二多个导电互连线5666中的个体导电互连线包括沿第二导电填充材料5670的侧壁和底部的第二导电阻挡材料5668。第二导电填充材料5670的组分与第一导电填充材料5660不同。
[0575]
在实施例中,导电通孔5657在第一多个导电互连线5656中的个体导电互连线5656b上并与其电耦合,以将第二多个导电互连线5666中的个体导电互连线5666a电耦合到
第一多个导电互连线5656中的个体导电互连线5656b。在实施例中,第一多个导电互连线5656中的个体导电互连线沿第一方向5698(例如,进出页面),并且第二多个导电互连线5666中的个体导电互连线沿与第一方向5698正交的第二方向5699,如所示。在实施例中,导电通孔5667包括沿第二导电填充材料5670的侧壁和底部的第二导电阻挡材料5668,如所示。
[0576]
在实施例中,第二ild层5664在第一ild层5654上的蚀刻停止层5672上。导电通孔5667在第二ild层5664中并在蚀刻停止层5672的开口中。在实施例中,第一和第二ild层5654和5664包括硅、碳和氧,并且蚀刻停止层5672包括硅和氮。在实施例中,第一多个导电互连线5656中的个体导电互连线具有第一宽度(w1),并且第二多个导电互连线5666中的个体导电互连线具有大于第一宽度(w1)的第二宽度(w2)。
[0577]
在实施例中,第二导电填充材料5670实质上由铜构成,并且第一导电填充材料5660实质上由钴构成。在一个这样的实施例中,第一导电阻挡材料5658的组分与第二导电阻挡材料5668不同。在另一个这样的实施例中,第一导电阻挡材料5658的组分与第二导电阻挡材料5668相同。
[0578]
在实施例中,第一导电填充材料5660包括具有第一浓度的掺杂剂杂质原子的铜,并且第二导电填充材料5670包括具有第二浓度的掺杂剂杂质原子的铜。掺杂剂杂质原子的第二浓度小于掺杂剂杂质原子的第一浓度。在一个这样的实施例中,掺杂剂杂质原子是从铝(al)和锰(mn)构成的组中选择的。在实施例中,第一导电阻挡材料5660和第二导电阻挡材料5670具有相同组分。在实施例中,第一导电阻挡材料5660和第二导电阻挡材料5670具有不同组分。
[0579]
图57a-图57c示出了根据本公开的实施例的适合于结合图56a和图56b所述结构的具有各种阻挡衬层和导电帽结构布置的个体互连线的截面图。
[0580]
参考图57a,电介质层5701中的互连线5700包括导电阻挡材料5702和导电填充材料5704。导电阻挡材料5702包括远离导电填充材料5704的外层5706和接近导电填充材料5704的内层5708。在实施例中,导电填充材料包括钴,外层5706包括钛和氮,并且内层5708包括钨、氮和碳。在一个这样的实施例中,外层5706具有大约2纳米的厚度,并且内层5708具有大约0.5纳米的厚度。在另一个实施例中,导电填充材料包括钴,外层5706包括钽,并且内层5708包括钌。在一个这样的实施例中,外层5706还包括氮。
[0581]
参考图57b,电介质层5721中的互连线5720包括导电阻挡材料5722和导电填充材料5724。导电帽层5730在导电填充材料5724的顶部上。在一个这样的实施例中,导电帽层5730还在导电阻挡材料5722的顶部上,如所示。在另一个实施例中,导电帽层5730不在导电阻挡材料5722的顶部上。在实施例中,导电帽层5730实质上由钴构成,并且导电填充材料5724实质上由铜构成。
[0582]
参考图57c,电介质层5741中的互连线5740包括导电阻挡材料5742和导电填充材料5744。导电阻挡材料5742包括远离导电填充材料5744的外层5746和接近导电填充材料5744的内层5748。导电帽层5750在导电填充材料5744的顶部上。在一个实施例中,导电帽层5750仅在导电填充材料5744的顶部上。然而,在另一个实施例中,导电帽层5750还在导电阻挡材料5742的内层5748的顶部上,即,在位置5752处。在一个这样的实施例中,导电帽层5750还在导电阻挡材料5742的外层5746的顶部上,即,在位置5754处。
[0583]
在实施例中,参考图57b和图57c,制造集成电路结构的方法包括在衬底上方形成层间电介质(ild)层5721或5741。多个导电互连线5720或5740形成于沟槽中并由ild层间隔开,多个导电互连线5720或5740中的个体导电互连线在沟槽中的对应沟槽中。通过如下方式形成多个导电互连线:首先在沟槽的底部和侧壁上形成导电阻挡材料5722或5724,并且然后分别在导电阻挡材料5722或5742上形成导电填充材料5724或5744,并填充沟槽,其中导电阻挡材料5722或5742分别沿导电填充材料5730或5750的底部并沿其侧壁。然后利用包括氧和碳的气体处理导电填充材料5724或5744的顶部。在利用包括氧和碳的气体处理导电填充材料5724或5744的顶部之后,分别在导电填充材料5724或5744的顶部上形成导电帽层5730或5750。
[0584]
在一个实施例中,利用包括氧和碳的气体处理导电填充材料5724或5744的顶部包括利用一氧化碳(co)处理导电填充材料5724或5744的顶部。在一个实施例中,导电填充材料5724或5744包括铜,并且在导电填充材料5724或5744的顶部上形成导电帽层5730或5750包括使用化学气相沉积(cvd)形成包括钴的层。在一个实施例中,在导电填充材料5724或5744的顶部上、但不在导电阻挡材料5722或5724的顶部上形成导电帽层5730或5750。
[0585]
在一个实施例中,形成导电阻挡材料5722或5744包括在沟槽的底部和侧壁上形成第一导电层,第一导电层包括钽。首先使用原子层沉积(ald)形成第一导电层的第一部分,并且然后使用物理气相沉积(pvd)形成第一导电层的第二部分。在一个这样的实施例中,形成导电阻挡材料还包括在沟槽的底部和侧壁上的第一导电层上形成第二导电层,该第二导电层包括钌,并且导电填充材料包括铜。在一个实施例中,第一导电层还包括氮。
[0586]
图58示出了根据本公开的实施例的其中具有一种金属线组分和间距的四个金属化层处于具有不同金属线组分和更小间距的两个金属化层上方的集成电路结构的截面图。
[0587]
参考图58,集成电路结构5800包括处于衬底5801上方的第一层间电介质(ild)层5802中由第一层间电介质(ild)层5802间隔开的第一多个导电互连线5804。第一多个导电互连线5804中的个体导电互连线包括沿第一导电填充材料5808的侧壁和底部的第一导电阻挡材料5806。第一多个导电互连线5804中的个体导电互连线沿第一方向5898(例如,进出页面)。
[0588]
第二多个导电互连线5814处于第一ild层5802上方的第二ild层5812中并由第二ild层5812间隔开。第二多个导电互连线5814中的个体导电互连线包括沿第一导电填充材料5808的侧壁和底部的第一导电阻挡材料5806。第二多个导电互连线5814中的个体导电互连线沿与第一方向5898正交的第二方向5899。
[0589]
第三多个导电互连线5824处于第二ild层5812上方的第三ild层5822中并由第三ild层5822间隔开。第三多个导电互连线5824中的个体导电互连线包括沿第二导电填充材料5828的侧壁和底部的第二导电阻挡材料5826。第二导电填充材料5828的组分与第一导电填充材料5808不同。第三多个导电互连线5824中的个体导电互连线沿第一方向5898。
[0590]
第四多个导电互连线5834处于第三ild层5822上方的第四ild层5832中并由第四ild层5832间隔开。第四多个导电互连线5834中的个体导电互连线包括沿第二导电填充材料5828的侧壁和底部的第二导电阻挡材料5826。第四多个导电互连线5834中的个体导电互连线沿第二方向5899。
[0591]
第五多个导电互连线5844处于第四ild层5832上方的第五ild层5842中并由第五
ild层5842间隔开。第五多个导电互连线5844中的个体导电互连线包括沿第二导电填充材料5828的侧壁和底部的第二导电阻挡材料5826。第五多个导电互连线5844中的个体导电互连线沿第一方向5898。
[0592]
第六多个导电互连线5854处于第五ild层上方的第六ild层5852中并由第六ild层5852间隔开。第六多个导电互连线5854中的个体导电互连线包括沿第二导电填充材料5828的侧壁和底部的第二导电阻挡材料5826。第六多个导电互连线5854中的个体导电互连线沿第二方向5899。
[0593]
在实施例中,第二导电填充材料5828实质上由铜构成,并且第一导电填充材料5808实质上由钴构成。在实施例中,第一导电填充材料5808包括具有第一浓度的掺杂剂杂质原子的铜,第二导电填充材料5828包括具有第二浓度的掺杂剂杂质原子的铜,掺杂剂杂质原子的第二浓度小于掺杂剂杂质原子的第一浓度。
[0594]
在实施例中,第一导电阻挡材料5806的组分与第二导电阻挡材料5826不同。在另一个实施例中,第一导电阻挡材料5806和第二导电阻挡材料5826具有相同组分。
[0595]
在实施例中,第一导电通孔5819在第一多个导电互连线5804中的个体导电互连线5804a上并与其电耦合。第二多个导电互连线5814中的个体导电互连线5814a在第一导电通孔5819上并与其电耦合。
[0596]
第二导电通孔5829在第二多个导电互连线5814中的个体导电互连线5814b上并与其电耦合。第三多个导电互连线5824中的个体导电互连线5824a在第二导电通孔5829上并与其电耦合。
[0597]
第三导电通孔5839在第三多个导电互连线5824中的个体导电互连线5824b上并与其电耦合。第四多个导电互连线5834中的个体导电互连线5834a在第三导电通孔5839上并与其电耦合。
[0598]
第四导电通孔5849在第四多个导电互连线5834中的个体导电互连线5834b上并与其电耦合。第五多个导电互连线5844中的个体导电互连线5844a在第四导电通孔5849上并与其电耦合。
[0599]
第五导电通孔5859在第五多个导电互连线5844中的个体导电互连线5844b上并与其电耦合。第六多个导电互连线5854中的个体导电互连线5854a在第五导电通孔5859上并与其电耦合。
[0600]
在一个实施例中,第一导电通孔5819包括沿第一导电填充材料5808的侧壁和底部的第一导电阻挡材料5806。第二5829、第三5839、第四5849和第五5859导电通孔包括沿第二导电填充材料5828的侧壁和底部的第二导电阻挡材料5826。
[0601]
在实施例中,第一5802、第二5812、第三5822、第四5832、第五5842和第六5852ild层由相邻ild层之间的对应蚀刻停止层5890彼此分开。在实施例中,第一5802、第二5812、第三5822、第四5832、第五5842和第六5852ild层包括硅、碳和氧。
[0602]
在实施例中,第一5804和第二5814多个导电互连线中的个体导电互连线具有第一宽度(w1)。第三5824、第四5834、第五5844和第六5854多个导电互连线中的个体导电互连线具有大于第一宽度(w1)的第二宽度(w2)。
[0603]
图59a-图59d示出了根据本公开的实施例的具有底部导电层的各种互连线和通孔布置的截面图。
[0604]
参考图59a和图59b,集成电路结构5900包括衬底5902上方的层间电介质(ild)层5904。导电通孔5906在ild层5904中的第一沟槽5908中。导电互连线5910在导电通孔5906上方并与其电耦合。导电互连线5910在ild层5904中的第二沟槽5912中。第二沟槽5912具有比第一沟槽5908的开口5909更大的开口5913。
[0605]
在实施例中,导电通孔5906和导电互连线5910包括处于第一沟槽5908的底部上但不沿第一沟槽5908的侧壁且不沿第二沟槽5912的底部和侧壁的第一导电阻挡层5914。第二导电阻挡层5916在第一沟槽5908的底部上的第一导电阻挡层5914上。第二导电阻挡层5916进一步沿第一沟槽5908的侧壁,并进一步沿第二沟槽5912的底部和侧壁。第三导电阻挡层5918在第一沟槽5908的底部上的第二导电阻挡层5916上。第三导电阻挡层5918进一步在第二导电阻挡层5916上,沿第一沟槽5908的侧壁并沿第二沟槽5912的底部和侧壁。导电填充材料5920在第三导电阻挡层5918上并填充第一5908和第二沟槽5912。第三导电阻挡层5918沿导电填充材料5920的底部并沿其侧壁。
[0606]
在一个实施例中,第一导电阻挡层5914和第三导电阻挡层5918具有相同的组分,并且第二导电阻挡层5916的组分与第一导电阻挡层5914和第三导电阻挡层5918不同。在一个这样的实施例中,第一导电阻挡层5914和第三导电阻挡层5918包括钌,并且第二导电阻挡层5916包括钽。在特定的这样的实施例中,第二导电阻挡层5916还包括氮。在实施例中,导电填充材料5920实质上由铜构成。
[0607]
在实施例中,导电帽层5922在导电填充材料5920顶部。在一个这样的实施例中,导电帽层5922不在第二导电阻挡层5916顶部,且不在第三导电阻挡层5918顶部。然而,在另一个实施例中,导电帽层5922进一步在第三导电阻挡层5918的顶部上,例如,在位置5924处。在一个这样的实施例中,导电帽层5922还进一步在第二导电阻挡层5916的顶部上,例如,在位置5926处。在实施例中,导电帽层5922实质上由钴构成,并且导电填充材料5920实质上由铜构成。
[0608]
参考图59c和图59d,在实施例中,导电通孔5906在ild层5904下方的第二ild层5952中的第二导电互连线5950上并与其电耦合。第二导电互连线5950包括导电填充材料5954和其上的导电帽5956。蚀刻停止层5958可以在导电帽5956之上,如所示。
[0609]
在一个实施例中,导电通孔5956的第一导电阻挡层5914在第二导电互连线5950的导电帽5956的开口5960中,如图59c中所示。在一个这样的实施例中,导电通孔5956的第一导电阻挡层5914包括钌,并且第二导电互连线5950的导电帽5956包括钴。
[0610]
在另一个实施例中,导电通孔5956的第一导电阻挡层5914在第二导电互连线5950的导电帽5956的一部分上,如图59d中所示。在一个这样的实施例中,导电通孔5956的第一导电阻挡层5914包括钌,并且第二导电互连线5950的导电帽5956包括钴。在特定实施例中,尽管未示出,导电通孔5906的第一导电阻挡层5914在进入但未穿透第二导电互连线5950的导电帽5956的凹陷上。
[0611]
在另一方面中,beol金属化层具有非平面形貌,例如导电线和容纳导电线的ild层之间的阶梯高度差。在实施例中,上覆蚀刻停止层被形成为与形貌共形并呈现该形貌。在实施例中,该形貌有助于朝向导电线引导上覆通孔蚀刻工艺,以阻碍导电通孔的“未着陆”。
[0612]
在蚀刻停止层形貌的第一示例中,图60a-图60d示出了根据本公开的实施例的用于beol金属化层的凹陷的线形貌的结构布置的截面图。
[0613]
参考图60a,集成电路结构6000包括处于衬底6002上方的层间电介质(ild)层6004中并由第一层间电介质(ild)层6004间隔开的多个导电互连线6006。出于示例性目的,多个导电互连线6006之一被示为耦合到下层通孔6007。多个导电互连线6006中的个体导电互连线具有处于ild层6004的上表面6010下方的上表面6008。蚀刻停止层6012在ild层6004和多个导电互连线6006上并与其共形。蚀刻停止层6012具有非平面上表面,并且非平面上表面的最上部分6014在ild层6004之上,并且非平面上表面的最下部分6016在多个导电互连线6006之上。
[0614]
导电通孔6018处于多个导电互连线6006中的个体导电互连线6006a上并与其电耦合。导电通孔6018在蚀刻停止层6012的开口6020中。开口6020在多个导电互连线6006中的个体6006a导电互连线之上但不在ild层6014之上。导电通孔6018在蚀刻停止层6012上方的第二ild层6022中。在一个实施例中,第二ild层6022在蚀刻停止层6012上并与其共形,如图60a所示。
[0615]
在实施例中,导电通孔6018的中心6024与多个导电互连线6006中的个体导电互连线6006a的中心6026对准,如图60a所示。然而,在另一个实施例中,导电通孔6018的中心6024从多个导电互连线6006中的个体导电互连线6006a的中心6026偏离,如图60b所示。
[0616]
在实施例中,多个导电互连线6006中的个体导电互连线包括沿导电填充材料6030的侧壁和底部的阻挡层6028。在一个实施例中,阻挡层6028和导电填充材料6030都具有处于ild层6004的上表面6010下方的最上表面,如图60a、图60b和图60c所示。在特定的这样的实施例中,阻挡层6028的最上表面在导电填充材料6030的最上表面上方,如图60c所示。在另一个实施例中,导电填充材料6030具有处于ild层6004的上表面6010下方的最上表面,并且阻挡层6028具有与ild层6004的上表面6010共面的最上表面,如图60d所示。
[0617]
在实施例中,ild层6004包括硅、碳和氧,并且蚀刻停止层6012包括硅和氮。在实施例中,多个导电互连线6006中的个体导电互连线的上表面6008在ild层6004的上表面6010下方0.5-1.5纳米的范围内的量。
[0618]
共同参考图60a-图60d,根据本公开的实施例,制造集成电路结构的方法包括在衬底6002上方的第一层间电介质(ild)层6004中形成由第一层间电介质层间隔开的多个导电互连线。多个导电互连线相对于第一ild层凹陷,以提供具有低于第一ild层6004的上表面6010的上表面6008的多个导电互连线中的个体导电互连线6006。在使多个导电互连线凹陷之后,蚀刻停止层6012形成在第一ild层6004和多个导电互连线6006上并与其共形。蚀刻停止层6012具有非平面上表面,其中非平面上表面的最上部分6016在第一ild层6004之上,并且非平面上表面的最下部分6014在多个导电互连线6006之上。第二ild层6022形成在蚀刻停止层6012上。在第二ild层6022中蚀刻通孔沟槽。蚀刻停止层6012在蚀刻期间指向第二ild层6022中的通孔沟槽的位置。通过通孔沟槽蚀刻该蚀刻停止层6012以在蚀刻停止层6012中形成开口6020。开口6020在多个导电互连线6006中的个体导电互连线6006a之上但不在第一ild层6004之上。在通孔沟槽和蚀刻停止层6012中的开口6020中形成导电通孔6018。导电通孔6018在多个导电互连线6006中的个体导电互连线6006a上并与其电耦合。
[0619]
在一个实施例中,多个导电互连线6006中的个体导电互连线包括沿导电填充材料6030的侧壁和底部的阻挡层6028,并且使多个导电互连线凹陷包括使阻挡层6028和导电填充材料6030都凹陷,如图60a-图60c所示。在另一个实施例中,多个导电互连线6006中的个
体导电互连线包括沿导电填充材料6030的底部和侧壁的阻挡层6028,并且使多个导电互连线凹陷包括使导电填充材料6030凹陷,但大体上不使阻挡层6028凹陷,如图60d所示。在实施例中,蚀刻停止层6012重新指向光刻未对准的通孔沟槽图案。在实施例中,使多个导电互连线凹陷包括相对于第一ild层6004凹陷处于0.5-1.5纳米的范围内的量。
[0620]
在蚀刻停止层形貌的第二示例中,图61a-图61d示出了根据本公开的实施例的用于beol金属化层的阶梯线形貌的结构布置的截面图。
[0621]
参考图61a,集成电路结构6100包括处于衬底6102上方的层间电介质(ild)层6104中并由第一层间电介质(ild)层6104间隔开的多个导电互连线6106。出于示例性目的,多个导电互连线6106之一被示为耦合到下层通孔6107。多个导电互连线6106中的个体导电互连线具有处于ild层6104的上表面6110上方的上表面6108。蚀刻停止层6112在ild层6104和多个导电互连线6106上并与其共形。蚀刻停止层6112具有非平面上表面,其中非平面上表面的最下部分6114在ild层6104之上,并且非平面上表面的最上部分6116在多个导电互连线6106之上。
[0622]
导电通孔6118在多个导电互连线6106中的个体导电互连线6106a上并与其电耦合。导电通孔6118在蚀刻停止层6112的开口6120中。开口6120在多个导电互连线6106中的个体导电互连线6106a之上但不在ild层6114之上。导电通孔6118在蚀刻停止层6112上方的第二ild层6122中。在一个实施例中,第二ild层6122在蚀刻停止层6112上并与其共形,如图61a所示。
[0623]
在实施例中,导电通孔6118的中心6124与多个导电互连线6106中的个体导电互连线6106a的中心6126对准,如图61a所示。然而,在另一个实施例中,导电通孔6118的中心6124从多个导电互连线6106中的个体导电互连线6106a的中心6126偏离,如图61b所示。
[0624]
在实施例中,多个导电互连线6106中的个体导电互连线包括沿导电填充材料6130的侧壁和底部的阻挡层6128。在一个实施例中,阻挡层6128和导电填充材料6130都具有处于ild层6104的上表面6110上方的最上表面,如图61a、图61b和图61c所示。在特定的这样的实施例中,阻挡层6128的最上表面低于导电填充材料6130的最上表面,如图61c所示。在另一个实施例中,导电填充材料6130具有处于ild层6104的上表面6110上方的最上表面,并且阻挡层6128具有与ild层6104的上表面6110共面的最上表面,如图61d所示。
[0625]
在实施例中,ild层6104包括硅、碳和氧,并且蚀刻停止层6112包括硅和氮。在实施例中,多个导电互连线6106中的个体导电互连线的上表面6108在ild层6004上表面6110上方0.5-1.5纳米范围内的量。
[0626]
共同参考图61a-图61d,根据本公开的实施例,制造集成电路结构的方法包括在衬底6102上方的第一层间电介质(ild)层中形成由第一层间电介质层间隔开的多个导电互连线6106。第一ild层6104相对于多个导电互连线6106凹陷,以提供具有处于第一ild层6104的上表面6110上方的上表面6108的多个导电互连线6106中的个体导电互连线。在使第一ild层6104凹陷之后,蚀刻停止层6112形成在第一ild层6104和多个导电互连线6106上并与其共形。蚀刻停止层6112具有非平面上表面,其中非平面上表面的最下部分6114在第一ild层6104之上,并且非平面上表面的最上部分6116在多个导电互连线6106之上。在蚀刻停止层6112上形成第二ild层6122。在第二ild层6122中蚀刻出通孔沟槽。蚀刻停止层6112在蚀刻期间指向第二ild层6122中的通孔沟槽的位置。通过通孔沟槽蚀刻该蚀刻停止层6112以
在蚀刻停止层6112中形成开口6120。开口6120在多个导电互连线6106中的个体导电互连线6106a之上但不在第一ild层6104之上。在通孔沟槽和蚀刻停止层6112中的开口6120中形成导电通孔6118。导电通孔6118在多个导电互连线6106中的个体导电互连线6106a上并与其电耦合。
[0627]
在一个实施例中,多个导电互连线6106中的个体导电互连线包括沿导电填充材料6130的侧壁和底部的阻挡层6128,并且使第一ild层6104凹陷包括相对于阻挡层6128和导电填充材料6130二者凹陷,如图61a-图61c所示。在另一个实施例中,多个导电互连线6106中的个体导电互连线包括沿导电填充材料6130的侧壁和底部的阻挡层6128,并且使第一ild层6104凹陷包括相对于导电填充材料6130凹陷但不相对于阻挡层6128凹陷,如图61d所示。在实施例中,其中蚀刻停止层6112重新指向光刻未对准的通孔沟槽图案。在实施例中,使第一ild层6104凹陷包括相对于多个导电互连线6106凹陷处于0.5-1.5纳米的范围内的量。
[0628]
在另一方面中,描述了用于对金属线端部进行图案化的技术。为了提供语境,在半导体制造的高级节点中,可以通过线栅格、线端部和通孔的单独图案化工艺来生成较低层级互连。然而,复合图案的保真性趋向于随着通孔侵占线端部(反之亦然)而劣化。本文描述的实施例提供了消除相关联的邻近规则的也称为插塞工艺的线端部工艺。实施例可以允许在线端部放置通孔,并允许大的通孔捆扎整个线端部。
[0629]
为了提供更多语境,图62a示出了根据本公开的实施例的金属化层的平面图以及沿该平面图的a-a’轴截取对应截面图。图62b示出了根据本公开的实施例的线端部或插塞的截面图。图62c示出了根据本公开的实施例的线端部或插塞的另一截面图。
[0630]
参考图62a,金属化层6200包括形成于电介质层6204中的金属线6202。金属线6202可以耦合到下层通孔6203。电介质层6204可以包括线端部或插塞区6205。参考图62b,可以通过对电介质层6204上的硬掩模层6210进行图案化并随后蚀刻电介质层6204的暴露部分来制造电介质层6204的线端部或插塞区6205。可以将电介质层6204的暴露部分蚀刻到适合于形成线沟槽6206的深度,或进一步蚀刻到适合于形成通孔沟槽6208的深度。参考图62c,可以在单次大曝光6216中制造与线端部或插塞6205的相对侧壁相邻的两个通孔,以最终形成线沟槽6212和通孔沟槽6214。
[0631]
然而,再次参考图62a-62c,保真性问题和/或硬掩模腐蚀问题可能导致不完美的图案化方案。相比之下,本文描述的一个或多个实施例包括涉及在沟槽和通孔图案化工艺之后构造线端部电介质(插塞)的工艺流的实施方式。
[0632]
在一方面中,然后,本文描述的一个或多个实施例涉及用于在金属线之间以及在相关联的导电通孔之间(在一些实施例中)构建非导电空间或中断(称为“线端部”、“插塞”或“切口”)的方式。通过定义,导电通孔用于着陆于先前层金属图案上。在这方面,本文描述的实施例实现了更鲁棒的互连制造方案,因为对光刻设备的对准的依赖程度更小。这样的互连制造方案可以用于放松对对准/曝光的约束,可以用于改善电接触(例如,通过减小通孔电阻),并可以用于减小在其它情况下使用常规方式对这样的特征进行图案化所需的总工艺操作和处理时间。
[0633]
图63a-图63f示出了根据本公开的实施例的表示插塞最后处理方案中的各种操作的平面图和对应截面图。
[0634]
参考图63a,制造集成电路结构的方法包括在下层金属化层6300上方形成的层间电介质(ild)材料层6302的上部部分6304中形成线沟槽6306。在ild材料层6302的下部部分6310中形成通孔沟槽6308。通孔沟槽6308暴露下层金属化层6300的金属线6312。
[0635]
参考图63b,在ild材料层6302上方并在线沟槽6306和通孔沟槽6308中形成牺牲材料6314。牺牲材料6314上可以形成有硬掩模6315,如图63b所示。在一个实施例中,牺牲材料6314包括碳。
[0636]
参考图63c,对牺牲材料6314进行图案化以打断线沟槽6306中的牺牲材料6314的连续性,例如,以在牺牲材料6314中提供开口6316。
[0637]
参考图63d,利用电介质材料填充牺牲材料6314中的开口6316以形成电介质插塞6318。在实施例中,在利用电介质材料填充牺牲材料6314中的开口6316之后,去除硬掩模6315以提供具有处于ild材料6302的上表面6322上方的上表面6320的电介质插塞6318,如图63d所示。去除牺牲材料6314以保留电介质插塞6318。
[0638]
在实施例中,利用电介质材料填充牺牲材料6314的开口6316包括利用金属氧化物材料进行填充。在一个这样的实施例中,金属氧化物材料为氧化铝。在实施例中,利用电介质材料填充牺牲材料6316的开口6314包括使用原子层沉积(ald)进行填充。
[0639]
参考图63e,利用导电材料6324填充线沟槽6306和通孔沟槽6308。在实施例中,在电介质插塞6318和ild层6302上方和之上形成导电材料6324,如所示。
[0640]
参考图63f,对导电材料6324和电介质插塞6318进行平面化,以提供平面化电介质插塞6318’,打破线沟槽6306中的导电材料6324的连续性。
[0641]
再次参考图63f,根据本公开的实施例,集成电路结构6350包括衬底上方的层间电介质(ild)层6302。导电互连线6324在ild层6302中的沟槽6306中。导电互连线6324具有第一部分6324a和第二部分6324b,第一部分6324a与第二部分6324b横向相邻。电介质插塞6318’介于导电互连线6324的第一6324a和第二6324b部分之间并与它们横向相邻。尽管未示出,在实施例中,导电互连线6324包括导电阻挡衬层和导电填充材料,上文描述了用于导电阻挡衬层和导电填充材料的示例性材料。在一个这样的实施例中,导电填充材料包括钴。
[0642]
在实施例中,电介质插塞6318’包括金属氧化物材料。在一个这样的实施例中,金属氧化物材料为氧化铝。在实施例中,电介质插塞6318’与导电互连线6324的第一6324a和第二6324b部分直接接触。
[0643]
在实施例中,电介质插塞6318’具有与导电互连线6324的底部6324c大体上共面的底部6318a。在实施例中,第一导电通孔6326在ild层6302中的沟槽6308中。在一个这样的实施例中,第一导电通孔6326低于互连线6324的底部6324c,并且第一导电通孔6326电耦合到导电互连线6324的第一部分6324a。
[0644]
在实施例中,第二导电通孔6328在ild层6302中的第三沟槽6330中。第二导电通孔6328低于互连线6324的底部6324c,并且第二导电通孔6328电耦合到导电互连线6324的第二部分6324b。
[0645]
可以使用诸如化学气相沉积工艺的填充工艺形成电介质插塞。所制造的电介质插塞中可能保留人工制品。作为示例,图64a示出了根据本公开的实施例的其中具有接缝的导电线插塞的截面图。
[0646]
参考图64a,电介质插塞6418具有近似竖直接缝6400,其与导电互连线6324的第一
部分6324a和导电互连线6324的第二部分6324b的间隔近似相等。
[0647]
应当认识到,可以仅在选择的金属化层上,例如在下部金属化层中包括组分与容纳它们的ild材料不同的电介质插塞。作为示例,图64b示出了根据本公开的实施例的在下部金属线位置处包括导电线插塞的金属化层的堆叠体的截面图。
[0648]
参考图64b,集成电路结构6450包括处于衬底6452上方的第一层间电介质(ild)层6454中并由第一层间电介质(ild)层6454间隔开的第一多个导电互连线6456。第一多个导电互连线6456中的个体导电互连线具有被一个或多个电介质插塞6458打破的连续性。在实施例中,一个或多个电介质插塞6458包括与ild层6452不同的材料。第二多个导电互连线6466在第一ild层6454上方的第二ild层6464中并由第二ild层6464间隔开。在实施例中,第二多个导电互连线6466中的个体导电互连线具有被第二ild层6464的一个或多个部分6468打破的连续性。应当认识到,如所示,在集成电路结构6450中可以包括其它金属化层。
[0649]
在一个实施例中,一个或多个电介质插塞6458包括金属氧化物材料。在一个这样的实施例中,金属氧化物材料为氧化铝。在一个实施例中,第一ild层6454和第二ild层6464(以及因此,第二ild层6464的一个或多个部分6568)包括掺碳氧化硅材料。
[0650]
在一个实施例中,第一多个导电互连线6456中的个体导电互连线包括第一导电阻挡衬层6456a和第一导电填充材料6456b。第二多个导电互连线6466中的个体导电互连线包括第二导电阻挡衬层6466a和第二导电填充材料6466b。在一个这样的实施例中,第一导电填充材料6456b的组分与第二导电填充材料6466b不同。在特定的这样的实施例中,第一导电填充材料6456b包括钴,并且第二导电填充材料6466b包括铜。
[0651]
在一个实施例中,第一多个导电互连线6456具有第一间距(p1,如类似层6470中所示)。第二多个导电互连线6466具有第二间距(p2,如类似层6480中所示)。第二间距(p2)大于第一间距(p1)。在一个实施例中,第一多个导电互连线6456中的个体导电互连线具有第一宽度(w1,如类似层6470中所示)。第二多个导电互连线6466中的个体导电互连线具有第二宽度(w2,如类似层6480中所示)。第二宽度(w2)大于第一宽度(w1)。
[0652]
应当认识到,上文结合后段工艺(beol)结构和处理所述的层和材料可以形成于下层半导体衬底或结构(例如集成电路的下层器件层)上或上方。在实施例中,下层半导体衬底代表用于制造集成电路的一般工件物体。半导体衬底常常包括晶片或者硅或另一种半导体材料的其它片。适当的半导体衬底包括但不限于单晶硅、多晶硅和绝缘体上硅(soi)以及由其它半导体材料形成的类似衬底,例如包括锗、碳或iii-v族材料的衬底。取决于制造阶段,半导体衬底常常包括晶体管、集成电路等。衬底还可以包括半导体材料、金属、电介质、掺杂物和半导体衬底中常见的其它材料。此外,所示的结构可以制造于下层较低层级的互连层上。
[0653]
尽管关于选择操作详细描述了制造beol金属化层的金属化层或金属化层的部分的前述方法,但应当认识到,用于制造的额外或中间操作可以包括标准微电子制造工艺,例如光刻、蚀刻、薄膜沉积、平面化(例如化学机械抛光(cmp))、扩散、计量、牺牲层的使用、蚀刻停止层的使用、平面化停止层的使用或与微电子部件制造相关联的任何其它动作。而且,应当认识到,可以按照替代次序实践针对前述工艺流所述的工艺操作,并不需要执行每个操作,或者可以执行额外的工艺操作,或者两者兼之。
[0654]
在实施例中,如整个本说明书中所用的,层间电介质(ild)材料由电介质层或绝缘
材料层构成或包括电介质层或绝缘材料层。适当的电介质材料的示例包括但不限于硅的氧化物(例如,二氧化硅(sio2))、硅的掺杂氧化物、硅的氟化氧化物、硅的掺碳氧化物、现有技术中已知的各种低k电介质材料及其组合。层间电介质材料可以通过例如化学气相沉积(cvd)、物理气相沉(pvd)的技术、或通过其它沉积方法形成。
[0655]
在实施例中,同样如整个本说明书中所用,金属线或互连线材料(和通孔材料)由一种或多种金属或其它导电结构构成。常见的示例是使用可以或可以不包括铜和周围ild材料之间的阻挡层的铜线和结构。如本文所用,术语金属包括多种金属的合金、堆叠体和其它组合。例如,金属互连线可以包括阻挡层(例如,包括ta、tan、ti或tin中的一种或多种的层)、不同金属或合金的堆叠体等。于是,互连线可以是单一材料层,或者可以由几个层形成,包括导电衬层和填充层。可以使用诸如电镀、化学气相沉积或物理气相沉积的任何适当的沉积工艺来形成互连线。在实施例中,互连线由导电材料构成,导电材料例如但不限于cu、al、ti、zr、hf、v、ru、co、ni、pd、pt、w、ag、au或其合金。在本领域中,有时也将互连线称为迹线、导线、线路、金属、或简称互连。
[0656]
在实施例中,同样如整个本说明书中所用,硬掩模材料由与层间电介质材料不同的电介质材料构成。在一个实施例中,可以在不同区域中使用不同硬掩模材料,以便提供相对于彼此以及相对于下层电介质和金属层的不同生长或蚀刻选择性。在一些实施例中,硬掩模层包括硅的氮化物(例如,氮化硅)层或硅的氧化物层,或这两者或其组合。其它适当材料可以包括基于碳的材料。在另一个实施例中,硬掩模材料包括金属种类。例如,硬掩模或其它上覆材料可以包括钛或另一种金属的氮化物(例如,氮化钛)的层。在这些层中的一个或多个中可以包括可能更少量的其它材料,例如氧。替代地,取决于特定实施方式,可以使用现有技术中已知的其它硬掩模层。硬掩模层可以通过cvd、pvd或其它沉积方法形成。
[0657]
在实施例中,同样如整个本说明书中所用,使用193nm浸入光刻(i193)、极紫外(euv)光刻或电子束直接写入(ebdw)光刻等执行光刻操作。可以使用正色调或负色调抗蚀剂。在一个实施例中,光刻掩模是由形貌掩蔽部分、抗反射涂层(arc)和光致抗蚀剂层构成的三层掩模。在特定的这种实施例中,形貌掩蔽部分是碳硬掩模(chm)层,并且抗反射涂层是硅arc层。
[0658]
在另一方面中,本文描述的一个或多个实施例涉及具有内部节点跳线的存储器位单元。特定实施例可以包括在高级自对准工艺技术中实施存储器位单元的布局高效的技术。实施例可以涉及10纳米或更小的技术节点。实施例可以通过利用有源栅极之上的接触部(coag)或侵蚀性金属1(m1)间距缩放、或这两者来提供在相同占用面积内开发具有改进性能的存储器位单元的能力。实施例可以包括或涉及位单元布局,以使得能够在相同或更小占用面积中实现与先前技术节点相比更高性能的位单元。
[0659]
根据本公开的实施例,实施较高金属层(例如,金属1或m1)跳线以连接内部节点,而不是使用传统的栅极-沟槽接触部-栅极接触部(poly-tcn-polycon)连接。在实施例中,有源栅极之上的接触部(coag)集成方案与金属1跳线组合以连接内部节点,减轻或完全消除了为较高性能位单元增加占用面积的需求。亦即,可以实现改进的晶体管比例。在实施例中,这样的方式使得能够进行积极缩放,以为例如10纳米(10nm)技术节点提供改进的每晶体管的成本。可以在10nm技术中的sram、rf和双端口位单元中实施内部节点m1跳线,以产生非常紧凑的布局。
[0660]
作为比较例,图65示出了针对存储器单元的单元布局的第一视图。
[0661]
参考图65,示例性14纳米(14nm)布局6500包括位单元6502。位单元6502包括栅极或多线6504和金属1(m1)线6506。在图示的示例中,多线6504具有1x间距,并且m1线6506具有1x间距。在特定示例中,多线6504具有70nm间距,并且m1线6506具有70nm间距。
[0662]
与图65相比,图66示出了根据本公开的实施例的用于具有内部节点跳线的存储器单元的单元布局的第一视图。
[0663]
参考图66,示例性10纳米(10nm)布局6600包括位单元6602。位单元6602包括栅极或多线6604和金属1(m1)线6606。在图示的示例中,多线6604具有1x间距,并且m1线6606具有0.67x间距。结果得到交叠的线6605,其包括多线正上方的m1线。在特定实施例中,多线6604具有54nm间距,并且m1线6606具有36nm间距。
[0664]
与布局6500相比,在布局6600中,m1间距小于栅极间距,每三条线就空出来额外的线(6605)(例如,对于每两条多线,有三条m1线)。“空出来的”m1线在本文中被称为内部节点跳线。内部节点跳线可以用于栅极到栅极(多到多)互连,或用于沟槽接触部到沟槽接触部互连。在实施例中,通过有源栅极之上的接触部(coag)布置实现与多线的接触,使得能够制造内部节点跳线。
[0665]
更一般地参考图66,在实施例中,集成电路结构包括衬底上的存储器位单元6602。存储器位单元6602包括沿衬底的第二方向2平行的第一和第二栅极线6604。第一和第二栅极线6602沿衬底的第一方向(1)具有第一间距,第一方向(1)垂直于第二方向(2)。第一、第二和第三互连线6606在第一和第二栅极线6604之上。第一、第二和第三互连线6606沿衬底的第二方向(2)平行。第一、第二和第三互连线6606沿第一方向具有第二间距,其中第二间距小于第一间距。在一个实施例中,第一、第二和第三互连线6606之一是用于存储器位单元6602的内部节点跳线。
[0666]
如整个公开中所适用的,可以将栅极线6604称为在轨道上以形成栅格结构。因此,本文描述的栅格状图案可以具有以恒定间距间隔开并具有恒定宽度的栅极线或互连线。可以通过间距减半或间距四分或其它间距划分方式来制造图案。
[0667]
作为比较示例,图67示出了针对存储器单元的单元布局6700的第二视图。
[0668]
参考图67,14nm位单元6502被示为具有n扩散6702(例如,p型掺杂有源区,例如下层衬底的掺硼扩散区)和p扩散6704(例如,n型掺杂有源区,例如下层衬底的掺磷或砷或这两者的扩散区),为了清晰起见而去除了m1线。位单元102的布局6700包括栅极线或多线6504、沟槽接触部6706、栅极接触部6708(14nm节点特有)和接触通孔6710。
[0669]
与图67相比,图68示出了根据本公开的实施例的用于具有内部节点跳线的存储器单元的单元布局6800的第二视图。
[0670]
参考图68,10nm位单元6602被示为具有n扩散6802(例如,p型掺杂有源区,例如下层衬底的掺硼扩散区)和p扩散6804(例如,n型掺杂有源区,例如下层衬底的掺磷或砷或这两者的扩散区),为了清晰起见而去除了m1线。位单元202的布局6800包括栅极线或多线6604、沟槽接触部6806、栅极通孔6808(10nm节点特有)和沟槽接触通孔6710。
[0671]
与布局6700和6800相比,根据本公开的实施例,在14nm布局中,内部节点仅由栅极接触部(gcn)连接。由于多到gcn空间约束,不能在相同占用面积中生成增强性能布局。在10nm布局中,该设计允许在栅极上着陆接触部(vcg)以消除对多接触部的需求。在一个实施
例中,该布置使用m1实现了内部节点的连接,允许在14nm占用面积内增加有源区密度(例如,鳍状物的数量增大)。在10nm布局中,在使用coag架构时,可以使扩散区之间的间隔更小,因为它们不受沟槽接触部到栅极接触部间隔的限制。在实施例中,图67的布局6700被称为112(1个鳍状物上拉、1个鳍状物传输门,2个鳍状物下拉)布置。相比而言,图68的布局6800被称为122(1个鳍状物上拉,2个鳍状物传输门,2个鳍状物下拉)布置,在特定实施例中,该布置处于与图67的112布局相同的占用面积内。在实施例中,122布置与112布置相比提供了改进的性能。
[0672]
作为比较示例,图69示出了针对存储器单元的单元布局6900的第三视图。
[0673]
参考图69,14nm位单元6502被示为具有金属0(m0)线6902,为了清晰起见去除了多线。还示出了金属1(m1)线6506、接触通孔6710、通孔0结构6904。
[0674]
与图69相比,图70示出了根据本公开的实施例的用于具有内部节点跳线的存储器单元的单元布局7000的第三视图。
[0675]
参考图70,10nm位单元6602被示为具有金属0(m0)线7002,为了清晰起见去除了多线。还示出了金属1(m1)线6606、栅极通孔6808、沟槽接触通孔6810和通孔0结构7004。与图69和图70相比,根据本公开的实施例,对于14nm布局而言,内部节点仅由栅极接触部(gcn)连接,而对于10nm布局而言,使用m1跳线连接内部节点之一。
[0676]
共同参考图66、图68和图70,根据本公开的实施例,集成电路结构包括衬底上的存储器位单元6602。存储器位单元6602包括沿衬底的第一方向(1)平行的第一(顶部6802)有源区、第二(顶部6804)有源区、第三(底部6804)有源区和第四(底部6802)有源区。第一(左6604)和第二(右6604)栅极线在第一、第二、第三和第四有源区6802/6804之上。第一和第二栅极线6604沿衬底的第二方向(2)平行,第二方向(2)垂直于第一方向(1)。第一(远左6606)、第二(近左6606)和第三(近右6606)互连线在第一和第二栅极线6604之上。第一、第二和第三互连线6606沿衬底的第二方向(2)平行。
[0677]
在实施例中,第一(远左6606)和第二(近左6606)互连线在第一、第二、第三和第四有源区6802/6804中的一个或多个之上的第一和第二栅极线6604的位置处(例如,在所谓的“有源栅极”位置处)电连接到第一和第二栅极线6604。在一个实施例中,第一(远左6606)和第二(近左6606)互连线由竖直位于第一和第二互连线6606与第一和第二栅极线6604之间的居间的多个互连线7004电连接到第一和第二栅极线6604。居间的多个互连线7004沿衬底的第一方向(1)平行。
[0678]
在实施例中,第三互连线(近右6606)将存储器位单元6602的栅极电极对电耦合在一起,该栅极电极对包括在第一和第二栅极线6604中。在另一个实施例中,第三互连线(近右6606)将存储器位单元6602的沟槽接触部对电耦合在一起,该沟槽接触部对包括在多个沟槽接触部线6806中。在实施例中,第三互连线(近右6606)是内部节点跳线。
[0679]
在实施例中,第一有源区(顶部6802)为p型掺杂有源区(例如,为nmos器件提供n扩散),第二有源区(顶部6804)为n型掺杂有源区(例如,为pmos器件提供p扩散),第三有源区(底部6804)为n型掺杂有源区(例如,为pmos器件提供p扩散),并且第四有源区(底部6802)为n型掺杂有源区(例如,为nmos器件提供n扩散)。在实施例中,第一、第二、第三和第四有源区6802/6804在硅鳍状物中。在实施例中,存储器位单元6602包括基于单个硅鳍状物的上拉晶体管、基于两个硅鳍状物的传输门晶体管、以及基于两个硅鳍状物的下拉晶体管。
[0680]
在实施例中,第一和第二栅极线6604沿衬底的第二方向(2)平行地与多个沟槽接触部线6806中的个体沟槽接触部线交替。多个沟槽接触部线6806包括存储器位单元6602的沟槽接触部。第一和第二栅极线6604包括存储器位单元6602的栅极电极。
[0681]
在实施例中,第一和第二栅极线6604沿第一方向(1)具有第一间距。第一、第二和第三互连线6606沿第二方向(2)具有第二间距。在一个这种实施例中,第二间距小于第一间距。在具体的这种实施例中,第一间距在50纳米到60纳米的范围内,并且第二间距在30纳米到40纳米的范围内。在特定的这种实施例中,第一间距为54纳米,并且第二间距为36纳米。
[0682]
可以实施本文描述的实施例以在与先前技术节点相对相同的位单元占用面积内提供增大数量的鳍状物,相对于前一代增强了较小技术节点存储器位单元的性能。作为示例,图71a和图71b分别示出了根据本公开的实施例的针对六晶体管(6t)静态随机存取存储器(sram)的位单元布局和示意图。
[0683]
参考图71a和图71b,位单元布局7102中包括沿方向(2)平行的栅极线7104(也可以称为多线)。沟槽接触部线7106与栅极线7104交替。栅极线7104和沟槽接触部线7106在沿方向(1)平行的nmos扩散区7108(例如,p型掺杂有源区,例如下层衬底的掺硼扩散区)和pmos扩散区7110(例如,n型掺杂有源区,例如下层衬底的掺磷或砷或这两者的扩散区)之上。在实施例中,nmos扩散区7108两者均包括两个硅鳍状物。pmos扩散区7110两者均包括一个硅鳍状物。
[0684]
再次参考图71a和图71b,nmos传输门晶体管7112、nmos下拉晶体管7114和pmos上拉晶体管7116由栅极线7104以及nmos扩散区7108和pmos扩散区7110形成。还示出了字线(wl)7118、内部节点7120和7126、位线(bl)7122、位线条(blb)7124、sram vcc 7128和vss7130。
[0685]
在实施例中,向第一和第二栅极线7104的有源栅极位置制作与位单元布局7102的第一和第二栅极线7104的接触。在实施例中,6t sram位单元7104包括内部节点跳线,例如上文所述。
[0686]
在实施例中,本文描述的布局与均匀插塞和掩模图案(包括均匀鳍状物修剪掩模)兼容。布局可以与非euv工艺兼容。此外,布局可以仅要求使用中间鳍状物修剪掩模。与其它布局相比,本文描述的实施例在面积方面能够增大密度。可以实施实施例以在高级自对准工艺技术中提供布局高效的存储器实施方式。在管芯面积或存储器性能或这两者方面,可以实现优点。通过这样的布局方式能够独特地实现电路技术。
[0687]
本文描述的一个或多个实施例涉及在平行互连线(例如,金属1线)和栅极线未对准时的多版本库单元处理。实施例可以涉及10纳米或更小的技术节点。实施例可以包括或涉及单元布局,其使得能够在相同或更小占用面积中实现与先前技术节点相比更高性能的单元。在实施例中,覆在栅极线上方的互连线被制造成相对于下层栅极线具有增大的密度。这样的实施例能够实现增大的引脚命中、增大的路由可能性或增大的对单元引脚的访问。可以实施实施例以提供大于6%的块级密度。
[0688]
为了提供语境,栅极线和互连的下一平行级(典型称为金属1,并且金属0层在金属1和栅极线之间正交延伸)需要在块级对准。然而,在实施例中,使金属1线的间距不同于(例如,小于)栅极线的间距。对于每个单元有两种标准单元版本(例如,两种不同的单元图案)可用于适应间距的差异。选择的特定版本遵循在块级遵守的规则放置。如果未正确选择,可
能出现污染的配准(dr)。根据本公开的实施例,实施了相对于下层栅极线具有增大的间距密度的较高金属层(例如,金属1或m1)。在实施例中,这样的方式使得能够进行积极缩放,以为例如10纳米(10nm)技术节点提供改进的每晶体管的成本。
[0689]
图72示出了根据本公开的实施例的用于同一标准单元的两种不同布局的截面图。
[0690]
参考图72的部分(a),栅极线7204a组覆在衬底7272a上。金属1(m1)互连7206a组覆在栅极线7204a组上。金属1(m1)互连7206a组比栅极线7204a组具有更紧密的间距。然而,最外的金属1(m1)互连7206a具有与最外的栅极线7204a的外部对准。出于设计的目的,如整个本公开中所用,将图72的部分(a)的对准布置称为具有偶(e)对准。
[0691]
与部分(a)相反,参考图72的部分(b),栅极线7204b组覆在衬底7202b上。金属1(m1)互连7206b组覆在栅极线7204b组上。金属1(m1)互连7206b组比栅极线7204b组具有更紧密的间距。最外的金属1(m1)互连7206b不具有与最外的栅极线7204b的外部对准。出于设计的目的,如整个本公开中所用,将图72的部分(b)的非对准布置称为具有奇(o)对准。
[0692]
图73示出了根据本公开的实施例的指示偶(e)或奇(o)指定的四种不同单元布置的平面图。
[0693]
参考图73的部分(a),单元7300a具有栅极(或多)线7302a和金属1(m1)线7304a。单元7300a被指定为ee单元,因为单元7300a的左侧和单元7300a的右侧具有对准的栅极7302a和m1 7304a线。相比而言,参考图73的部分(b),单元7300b具有栅极(或多)线7302b和金属1(m1)线7304b。单元7300b被指定为oo单元,因为单元7300b的左侧和单元7300b的右侧具有非对准的栅极7302b和m1 7304b线。
[0694]
参考图73的部分(c),单元7300c具有栅极(或多)线7302c和金属1(m1)线7304c。单元7300c被指定为eo单元,因为单元7300c的左侧具有对准的栅极7302c和m1 7304c线,但单元7300c的右侧具有非对准的栅极7302c和m1 7304c线。相比而言,参考图73的部分(d),单元7300d具有栅极(或多)线7302d和金属1(m1)线7304d。单元7300d被指定为oe单元,因为单元7300d的左侧具有非对准的栅极7302d和m17304d线,但单元7300d的右侧具有对准的栅极7302d和m1 7304d线。
[0695]
作为用于放置标准单元类型的所选择的第一或第二版本的基础,图74示出了根据本公开的实施例的块级多网格的平面图。参考图74,块级多网格7400包括沿方向7404平行延伸的栅极线7402。指定的单元布局边界7406和7408被示为沿第二正交方向延伸。栅极线7402在偶(e)和奇(o)指定之间交替。
[0696]
图75示出了根据本公开的实施例基于具有不同版本的标准单元的示例性可接受(通过)布局。参考图75,布局7500包括在边界7406和7408之间按照从左到右的次序放置的类型7300c/7300d的三个单元:7300d,邻接的第一7300c和间隔开的第二7300c。7300c和7300d之间的选择基于对应栅极线7402上的e或o指定的对准。布局7500还包括在边界7408下方按照从左到右的次序放置的类型7300a/7300b的单元:第一7300a与第二7300a间隔开。7300a和7300b之间的选择基于对应栅极线7402上的e或o指定的对准。在布局7500中不出现污染的配准(dr)的意义上,布局7500是通过单元。应当认识到,p指定功率,并且a、b、c或o为示例性鳍状物。在布置7500中,功率线p跨越边界7408彼此对齐。
[0697]
更一般地参考图75,根据本公开的实施例,集成电路结构包括沿衬底的第一方向平行且沿与第一方向正交的第二方向具有间距的多个栅极线7402。单元类型的第一版本
7300c在多个栅极线7402的第一部分之上。单元类型的第一版本7300c包括沿第二方向具有第二间距的第一多个互连线,第二间距小于第一间距。单元类型的第二版本7300d在多个栅极线7402的沿第二方向与单元类型的第一版本7300c横向相邻的第二部分之上。单元类型的第二版本7300d包括沿第二方向具有第二间距的第二多个互连线。单元类型的第二版本7300d在结构上与单元类型的第一版本7300c不同。
[0698]
在实施例中,在单元类型的第一版本7300c沿第二方向的第一边缘(例如,左边缘)而非第二边缘(例如,右边缘)处,单元类型的第一版本7300c的第一多个互连线中的个体互连线沿第一方向与多个栅极线7402中的个体栅极线对准。在一个这样的实施例中,单元类型的第一版本7300c是nand单元的第一版本。在单元类型的第二版本7300d沿第二方向的第一边缘(例如,左边缘)处,单元类型的第二版本7300d的第二多个互连线中的个体互连线沿第一方向与多个栅极线7402中的个体栅极线不对准,而在单元类型的第二版本7300d沿第二方向的第二边缘(例如,右边缘)处,单元类型的第二版本7300d的第二多个互连线中的个体互连线沿第一方向与多个栅极线7402中的个体栅极线对准。在一个这样的实施例中,单元类型的第二版本7300d是nand单元的第二版本。
[0699]
在另一个实施例中,第一和第二版本是从单元类型7300a和7300d中选择的。在单元类型的第一版本7300a沿第二方向的两个边缘处,单元类型的第一版本7300a的第一多个互连线中的个体互连线沿第一方向与多个栅极线7402中的个体栅极线对准。在一个实施例中,单元类型的第一版本7300a是反相器单元的第一版本。应当认识到,在单元类型的第二版本7300b沿第二方向的两个边缘处,单元类型的第二版本7300b的第二多个互连线中的个体互连线在其它情况下沿第一方向与多个栅极线7402的个体栅极线不对准。在一个实施例中,单元类型的第二版本7300b是反相器单元的第二版本。
[0700]
图76示出了根据本公开的实施例的基于具有不同版本的标准单元的示例性不可接受(失败)布局。参考图76,布局7600包括在边界7406和7408之间按照从左到右的次序放置的类型7300c/7300d的三个单元:7300d,邻接的第一7300c和间隔开的第二7300c。7300c和7300d之间的适当选择基于对应栅极线7402上的e或o指定的对准,如所示。然而,布局7600还包括在边界7408下方按照从左到右的次序放置的类型7300a/7300b的单元:第一7300a与第二7300a间隔开。布局7600与7500不同之处在于:第二7300a向左移动了一条线。尽管7300a和7300b之间的选择应当基于对应栅极线7402上的e或o指定的对准,但并非如此,并且第二单元7300a未对准,其一个结果是未对准的功率(p)线。布局7600为失败单元,因为在布局7600中出现了污染的配准(dr)。
[0701]
图77示出了根据本公开的实施例的基于具有不同版本的标准单元的另一示例性可接受(通过)布局。参考图77,布局7700包括在边界7406和7408之间按照从左到右的次序放置的类型7300c/7300d的三个单元:7300d,邻接的第一7300c和间隔开的第二7300c。7300c和7300d之间的选择基于对应栅极线7402上的e或o指定的对准。布局7700还包括在边界7408下方按照从左到右的次序放置的类型7300a/7300b的单元:7300a与7300b间隔开。在布局7600中7300b的位置与7300a的位置相同,但选择的单元7300b基于对应栅极线7402上的o指定的适当对准。在布局7700中不出现污染的配准(dr)的意义上,布局7700是通过单元。应当认识到,p指定功率,并且a、b、c或o为示例性鳍状物。在布置7700中,功率线p跨越边界7408彼此对齐。
[0702]
共同参考图76和图77,制造用于集成电路结构的布局的方法包括将沿第一方向平行的多个栅极线7402中的交替的栅极线指定为沿第二方向的偶(e)或奇(o)。然后在多个栅极线7402之上为单元类型选择位置。该方法还包括取决于位置而在单元类型的第一版本和单元类型的第二版本之间进行选择,第二版本在结构上与第一版本不同,其中单元类型的选定版本针对单元类型沿第二方向的边缘处的互连具有偶(e)或奇(o)指定,并且其中单元类型边缘的指定与互连下方的多个栅极线中的个体栅极线的指定匹配。
[0703]
在另一方面中,一个或多个实施例涉及在鳍状物场效应晶体管(fet)架构中包括的基于鳍状物的结构上制造金属电阻器。在实施例中,由于更快数据传输速率需要高速io,这样的精密电阻器被植入作为片上系统(soc)技术的基础部件。这样的电阻器由于具有低变动和接近零的温度系数而可以实现高速模拟电路(例如csi/serdes)和缩放的io架构。在一个实施例中,本文描述的电阻器是可调节电阻器。
[0704]
为了提供语境,当前工艺技术中使用的传统电阻器通常落在两个类别之一中:一般电阻器或精密电阻器。诸如沟槽接触电阻器的一般电阻器的成本中等,但可能由于所用制造方法中固有的变动或电阻器的相关联的较大温度系数或这两者而遭受高变动。精密电阻器可以减轻变动和温度系数问题,但常常带来更高工艺成本和所需制造操作数量增多的代价。多晶硅精密电阻器的集成在高k/金属栅极工艺技术中带来了越来越大的困难。
[0705]
根据实施例,描述了基于鳍状物的薄膜电阻器(tfr)。在一个实施例中,这样的电阻器具有接近零的温度系数。在一个实施例中,这样的电阻器由于尺寸控制而呈现出减小的变动。根据本公开的一个或多个实施例,在鳍式fet晶体管架构内制造集成精密电阻器。应当认识到,高k/金属栅极工艺技术中使用的传统电阻器通常是钨沟槽接触部(tcn)、阱电阻器或多晶硅精密电阻器。这样的电阻器要么增大工艺成本或复杂度,要么由于所用制造工艺的变动而遭受高变动和差温度系数。相比之下,在实施例中,集成鳍状物的薄膜电阻器的制造能够实现替代已知方式的成本中等、温度系数良好(接近零)且低变动的方案。
[0706]
为了提供更多语境,已经使用二维(2d)金属薄膜或高掺杂多线制造了现有技术的精密电阻器。这样的电阻器往往被离散化到固定值的模板中,因此,难以实现更精细粒度的电阻值。
[0707]
为了解决以上问题中的一个或多个,根据本公开的一个或多个实施例,本文中描述了使用鳍状物骨干(例如硅鳍状物骨干)的高密度精密电阻器的设计。在一个实施例中,这样的高密度精密电阻器的优点包括可以使用鳍状物包装密度来实现高密度。此外,在一个实施例中,这样的电阻器与有源晶体管集成在同一层级上,实现了紧凑电路的制造。使用硅鳍状物骨干可以允许高包装密度并提供多个自由度以控制电阻器的电阻。因此,在具体实施例中,利用鳍状物图案化工艺的灵活性提供宽范围的电阻值,从而得到可调节精密电阻器制造。
[0708]
作为基于鳍状物的精密电阻器的示例性几何形状,图78示出了根据本公开的实施例的基于鳍状物的薄膜电阻器结构的部分切割平面图和对应截面图,其中截面图是沿部分切割平面图的a-a’轴截取的。
[0709]
参考图78,集成电路结构7800包括衬底7804上方的突出穿过沟槽隔离区7814的半导体鳍状物7802。在一个实施例中,半导体鳍状物7802从衬底7804突出并与其连续,如所示。半导体鳍状物具有顶表面7805、第一端部7806(在部分切割平面图中被示为虚线,因为
在该视图中鳍状物被覆盖)、第二端部7808(在部分切割平面图中被示为虚线,因为在该视图中鳍状物被覆盖)、以及第一端部7806和第二端部7808之间的侧壁7807对。应当认识到,在部分切割平面图中,侧壁7807实际被层7812覆盖。
[0710]
隔离层7812与半导体鳍状物7802的顶表面7805、第一端部7806、第二端部7808和侧壁7807对共形。金属电阻器层7810与隔离层7814共形,该隔离层7814与半导体鳍状物7802的顶表面7805(金属电阻器层部分7810a)、第一端部7806(金属电阻器层部分7810b)、第二端部7808(金属电阻器层部分7810c)和侧壁7807对(金属电阻器层部分7810d)共形。在特定实施例中,金属电阻器层7810包括与侧壁7807相邻的有脚特征7810e。隔离层7812将金属电阻器层7810与半导体鳍状物7802电隔离,因此,与衬底7804电隔离。
[0711]
在实施例中,金属电阻器层7810由适于提供接近零的温度系数的材料构成,因为金属电阻器层部分7810的电阻不会在由其制造的薄膜电阻器(tfr)的工作温度的范围内显著变化。在实施例中,金属电阻器层7810是氮化钛(tin)层。在另一个实施例中,金属电阻器层7810是钨(w)金属层。应当认识到,可以为金属电阻器层7810使用其它金属来代替氮化钛(tin)或钨(w)或者与氮化钛(tin)或钨(w)组合。在实施例中,金属电阻器层7810具有大约在2-5纳米的范围内的厚度。在实施例中,金属电阻器层7810具有大约在100-100,000欧姆/平方的范围内的电阻率。
[0712]
在实施例中,阳极电极和阴极电极电连接到金属电阻器层7810,下文结合图84更详细地描述了其示例性实施例。在一个这样的实施例中,金属电阻器层7810、阳极电极和阴极电极形成精密薄膜电阻器(tfr)无源器件。在实施例中,基于图78的结构7800的tfr允许基于鳍状物7802的高度、鳍状物7802的宽度、金属电阻器层7810的厚度和总鳍状物7802长度来精密控制电阻。这些自由度可以允许电路设计者实现选定的电阻值。此外,由于电阻器图案化是基于鳍状物的,所以在晶体管密度的尺度上高密度是可能的。
[0713]
在实施例中,使用现有技术鳍式fet处理操作提供适于制造基于鳍状物的电阻器的鳍状物。这种方式的优点可能在于其高密度和对有源晶体管的接近,使得能够容易地集成到电路中。而且,下层鳍状物的几何形状的灵活性允许宽范围的电阻值。在示例性处理方案中,首先使用骨干光刻和间隔体化方式图案化出鳍状物。然后利用隔离氧化物覆盖鳍状物,该隔离氧化物被凹陷以设定电阻器的高度。然后在鳍状物上共形地沉积绝缘氧化物以将导电膜与下层衬底(例如下层硅衬底)分开。然后在鳍状物上沉积金属或高掺杂多晶硅膜。然后对膜进行间隔体化以生成精密电阻器。
[0714]
在示例性处理方案中,图79-图83示出了根据本公开的实施例的表示制造基于鳍状物的薄膜电阻器结构的方法中的各种操作的平面图和对应截面图。
[0715]
参考图79,平面图和沿平面图的b-b’轴截取的对应截面图示出了在半导体衬底7801上形成骨干模板结构7902之后的工艺流的阶段。然后形成与骨干模板结构7902的侧壁表面共形的侧壁间隔体层7904。在实施例中,在骨干模板结构7902的图案化之后,共形氧化物材料被沉积并且然后被各向异性蚀刻(间隔体化)以提供侧壁间隔体层7904。
[0716]
参考图80,平面图示出了例如通过光刻掩蔽和曝光工艺使侧壁间隔体层7904的区域7906曝光之后的工艺流的阶段。然后,例如通过蚀刻工艺去除区域7906中包括的侧壁间隔体层7904的部分。去除的部分是将用于最终鳍状物界定的那些部分。
[0717]
参考图81,平面图和沿平面图的c-c’轴截取的对应截面图示出了去除图80的区域
7906中包括的侧壁间隔体层7904的部分以形成鳍状物图案化掩模(例如,氧化物鳍状物图案化掩模)之后的工艺流的阶段。然后去除骨干模板结构7902,并将剩余的图案化掩模用作蚀刻掩模以对衬底7801进行图案化。在衬底7801的图案化以及接下来的鳍状物图案化掩模的去除时,半导体鳍状物7802保持从现在图案化的半导体衬底7804突出并与其连续。半导体鳍状物7802具有顶表面7805、第一端部7806、第二端部7808以及第一端部和第二端部之间的侧壁7807对,如上文结合图78所述。
[0718]
参考图82,平面图和沿平面图的d-d’轴截取的对应截面图示出了在形成沟槽隔离层7814之后的工艺流的阶段。在实施例中,通过沉积绝缘材料并接下来使之凹陷以界定鳍状物高度(hsi)来形成沟槽隔离层7814。
[0719]
参考图83,平面图和沿平面图的e-e’轴截取的对应截面图示出了在形成隔离层7812之后的工艺流的阶段。在实施例中,通过化学气相沉积(cvd)工艺形成隔离层7812。形成与半导体鳍状物7802的顶表面7805、第一端部7806、第二端部7808和侧壁(7807)对共形的隔离层7812。然后形成与隔离层7812共形的金属电阻器层7810,该隔离层7812与半导体鳍状物7802的顶表面、第一端部、第二端部和侧壁对共形。
[0720]
在实施例中,使用均厚沉积和后续各向异性蚀刻工艺形成金属电阻器层7810。在实施例中,使用原子层沉积(ald)形成金属电阻器层7810。在实施例中,金属电阻器层7810被形成为处于2-5纳米的范围内的厚度。在实施例中,金属电阻器层7810是或包括氮化钛(tin)层或钨(w)层。在实施例中,金属电阻器层7810被形成为具有100-100,000欧姆/平方的范围内的电阻率。
[0721]
在后续处理操作中,一对阳极或阴极电极可以被形成并可以电连接到图83的结构的金属电阻器层7810。作为示例,图84示出了根据本公开的实施例的具有用于阳极或阴极电极接触部的多种示例性位置的基于鳍状物的薄膜电阻器结构的平面图。
[0722]
参考图84,第一阳极或阴极电极(例如8400、8402、8404、8406、8408、8410之一)电连接到金属电阻器层7810。第二阳极或阴极电极(例如8400、8402、8404、8406、8408、8410中的另一个)电连接到金属电阻器层7810。在实施例中,金属电阻器层7810、阳极电极和阴极电极形成精密薄膜电阻器(tfr)无源器件。精密trf无源器件可以是可调节的,因为可以基于第一阳极或阴极电极和第二阳极或阴极电极之间的距离来选择电阻。可以通过形成多种实际电极(例如8400、8402、8404、8406、8408、8410和其它可能电极)并且然后基于互连电路选择实际配对,来提供选择。替代地,可以形成单个阳极或阴极配对,并在制造tfr器件期间选择用于每者的位置。在任一种情况下,在实施例中,用于阳极或阴极电极之一的位置在鳍状物7802的端部(例如,在位置8400或8402处),在鳍状物7802的角部(例如,在位置8404、8406或8408处),或在角部之间的过渡的中心(例如,在位置8410处)。
[0723]
在示例性实施例中,第一阳极或阴极电极电连接到接近半导体鳍状物7802的第一端部7806(例如,在位置8400处)的金属电阻器层7810。第二阳极或阴极电极电连接到接近半导体鳍状物7802的第二端部7808(例如,在位置8402处)的金属电阻器层7810。
[0724]
在另一个示例性实施例中,第一阳极或阴极电极电连接到接近半导体鳍状物7802的第一端部7806(例如,在位置8400处)的金属电阻器层7810。第二阳极或阴极电极电连接到远离半导体鳍状物7802的第二端部7808(例如,在位置8410、8408、8406或8404处)的金属电阻器层7810。
[0725]
在另一个示例性实施例中,第一阳极或阴极电极电连接到远离半导体鳍状物7802的第一端部7806(例如,在位置8404或8406处)的金属电阻器层7810。第二阳极或阴极电极电连接到远离半导体鳍状物7802的第二端部7808(例如,在位置8410或8408处)的金属电阻器层7810。
[0726]
更具体而言,根据本公开的一个或多个实施例,将基于鳍状物的晶体管架构的形貌特征用作制造嵌入式电阻器的基础。在一个实施例中,在鳍状物结构上制造精密电阻器。在具体实施例中,这样的方式实现了诸如精密电阻器的无源部件的非常高密度的集成。
[0727]
应当认识到,多种鳍状物几何形状都适于制造基于鳍状物的精密电阻器。图85a-图85d示出了根据本公开的实施例的用于制造基于鳍状物的精密电阻器的各种鳍状物几何形状的平面图。
[0728]
在实施例中,参考图85a-图85c,半导体鳍状物7802是非线性半导体鳍状物。在一个实施例中,半导体鳍状物7802在衬底上方突出穿过沟槽隔离区。金属电阻器层7810与和非线性半导体鳍状物7802共形的隔离层(未示出)共形。在一个实施例中,两个或更多阳极或阴极电极8400电连接到金属电阻器层7810,图85a-图85c中的虚线圆示出了其示例性任选位置。
[0729]
非线性鳍状物几何形状包括一个或多个角,例如但不限于单个角(例如,l形)、两个角(例如,u形)、四个角(例如,s形)或六个角(例如,图78的结构)。在实施例中,非线性鳍状物几何形状为开放结构几何形状。在另一个实施例中,非线性鳍状物几何形状为封闭结构几何形状。
[0730]
作为针对非线性鳍状物几何形状的开放结构几何形状的示例性实施例,图85a示出了具有一个角以提供开放结构l形几何形状的非线性鳍状物。图85b示出了具有两个角以提供开放结构u形几何形状的非线性鳍状物。在开放结构的情况下,非线性半导体鳍状物7802具有顶表面、第一端部、第二端部以及第一端部与第二端部之间的侧壁对。金属电阻器层7810与隔离层(未示出)共形,该隔离层与顶表面、第一端部、第二端部以及第一端部与第二端部之间的侧壁对共形。
[0731]
在具体实施例中,再次参考图85a和图85b,第一阳极或阴极电极电连接到接近开放结构非线性半导体鳍状物的第一端部的金属电阻器层7810,并且第二阳极或阴极电极电连接到接近开放结构非线性半导体鳍状物的第二端部的金属电阻器层7810。在另一个具体实施例中,第一阳极或阴极电极电连接到接近开放结构非线性半导体鳍状物的第一端部的金属电阻器层7810,并且第二阳极或阴极电极电连接到远离开放结构非线性半导体鳍状物的第二端部的金属电阻器层7810。在另一个具体实施例中,第一阳极或阴极电极电连接到远离开放结构非线性半导体鳍状物的第一端部的金属电阻器层7810,并且第二阳极或阴极电极电连接到远离开放结构非线性半导体鳍状物的第二端部的金属电阻器层7810。
[0732]
作为针对非线性鳍状物几何形状的封闭结构几何形状的示例性实施例,图85c示出了具有四个角以提供封闭结构正方形或矩形几何形状的非线性鳍状物。在封闭结构的情况下,非线性半导体鳍状物7802具有顶表面以及侧壁对,尤其是内侧壁和外侧壁。然而,封闭结构不包括暴露的第一和第二端部。金属电阻器层7810与隔离层(未示出)共形,该隔离层与鳍状物7802的顶表面、内侧壁和外侧壁共形。
[0733]
在另一个实施例中,参考图85d,半导体鳍状物7802是线性半导体鳍状物。在一个
实施例中,半导体鳍状物7802在衬底上方突出穿过沟槽隔离区。金属电阻器层7810与和线性半导体鳍状物7802共形的隔离层(未示出)共形。在一个实施例中,两个或更多阳极或阴极电极8400电连接到金属电阻器层7810,图85d中的虚线圆示出了其示例性任选位置。
[0734]
在另一方面中,根据本公开的实施例,描述了用于针对光刻的高分辨率相移掩模(psm)制造的新结构。这样的psm掩模可以用于一般(直接)光刻或互补光刻。
[0735]
光刻常用于在光致抗蚀剂层中形成图案的制造工艺中。在光刻工艺中,在要蚀刻的下方的层之上沉积光致抗蚀剂层。典型地,下方的层是半导体层,但可以是任何类型的硬掩模或电介质材料。然后通过光掩模或分划板将光致抗蚀剂层选择性地暴露于辐射。然后对光致抗蚀剂显影,并且在“正”光致抗蚀剂的情况下,去除光致抗蚀剂中的暴露于辐射的那些部分。
[0736]
在通常称为“光刻机”或“扫描机”的光刻曝光工具内放置用于对晶片进行图案化的光掩模或分划板。在光刻机或扫描机机器中,在辐射源和晶片之间放置光掩模或分划板。光掩模或分划板典型地由放在石英衬底上的图案化铬(吸收器层)形成。辐射大体上无衰减地通过光掩模或分划板的处于没有铬的位置中的石英区段。相比之下,辐射不会通过掩模的铬部分。因为入射在掩模上的辐射要么完全通过石英区段,要么完全被铬区段阻挡,所以该类型的掩模被称为二元掩模。在辐射选择性地通过掩模之后,通过经由一系列透镜将掩模的图像投射到光致抗蚀剂中而将掩模上的图案转移到光致抗蚀剂中。
[0737]
随着光掩模或分划板上的特征变得越来越近,在掩模上的特征的尺寸与光源的波长相当时,衍射效应开始产生影响。衍射使投射到光致抗蚀剂上的图像模糊,导致分辨率变差。
[0738]
用于防止衍射图案干扰光致抗蚀剂的期望图案化的一种方式是利用被称为移相器的透明层覆盖光掩模或分划板中的选定开口。移相器将多组曝光射线之一移动到与另一相邻组不同相,这样抵消了衍射的干涉图案。该方式被称为相移掩模(psm)方式。尽管如此,减少缺陷并增大掩模生产的生产量的替代掩模制造方案是光刻工艺发展的重点关注领域。
[0739]
本公开的一个或多个实施例涉及用于制造光刻掩模的方法和所得的光刻掩模。为了提供语境,满足半导体业阐述的积极器件缩放目标的要求依赖于光刻掩模以高保真性图案化出更小特征的能力。然而,图案化出越来越小的特征的方式为掩模制造提出了严峻的挑战。就这一点而言,当前广泛使用的光刻掩模依赖于相移掩模(psm)技术的概念来图案化出特征。然而,减少缺陷同时生成越来越小的图案仍然是掩模制造中的最大障碍之一。使用相移掩模可能具有几个缺点。第一,相移掩模的设计是需要大量资源的相对复杂的流程。第二,因为相移掩模的性质,难以检查相移掩模中是否存在缺陷。相移掩模中这的这种缺陷来自于当前用于生产掩模自身的集成方案。一些相移掩模采用了麻烦且有些容易出现缺陷的方式来对厚的光吸收材料进行图案化,并且然后将图案转移到辅助相移的辅助层。使事情复杂化的是,吸收器层经受两次等离子体蚀刻,结果,等离子体蚀刻的不希望的效应导致掩模生产中出现缺陷,所述效应例如加载效应、反应离子蚀刻滞后、充电和可再现效应。
[0740]
制造无缺陷光刻掩模的材料和新颖的集成技术中的创新仍然是实现器件缩放的高优先级事项。因此,为了充分利用相移掩模技术,可能需要新颖的集成方案,该方案采用(i)以高保真性图案化相移层,以及(ii)在制造的最终阶段期间仅对吸收器进行一次图案化。此外,这样的制造方案还可以提供其它优点,例如材料选择的灵活性、在制造期间衬底
损伤减少、以及掩模制造的生产量增大。
[0741]
图86示出了根据本公开的实施例的光刻掩模结构8601的截面图。光刻掩模8601包括管芯内区8610、框架区8620和管芯-框架界面区8630。管芯-框架界面区8630包括管芯内区8610和框架区8620的相邻部分。管芯内区8610包括直接设置于衬底8600上的图案化的移相器层8606,其中图案化的移相器层具有带侧壁的特征。框架区8620围绕管芯内区8610,并包括直接设置于衬底8600上的图案化的吸收器层8602。
[0742]
设置于衬底8600上的管芯-框架界面区8630包括双层堆叠体8640。双层堆叠体8640包括设置于下部图案化的移相器层8606上的上层8604。双层堆叠体8640的上层8604由与框架区8620的图案化的吸收器层8602相同的材料构成。
[0743]
在实施例中,图案化的移相器层8606的特征的最上表面8608具有与管芯-框架界面区的特征的最上表面8612不同并与框架区中的特征的最上表面8614不同的高度。此外,在实施例中,管芯-框架界面区的特征的最上表面8612的高度与框架区的特征的最上表面8614的高度不同。移相器层8606的典型厚度在40-100nm范围内,而吸收器层的典型厚度在30-100nm范围内。在实施例中,框架区8620中的吸收器层8602的厚度为50nm,设置于管芯-框架界面区8630中的移相器层8606上的吸收器层8604的组合厚度为120nm,并且框架区中的吸收器的厚度为70nm。在实施例中,衬底8600为石英,图案化的移相器层包括诸如但不限于钼硅化物、钼-硅氮氧化物、钼-硅氮化物、氮氧化硅或氮化硅的材料,并且吸收器材料为铬。
[0744]
本文描述的实施例可以用于制造很宽范围的不同类型的集成电路或微电子器件。这种集成电路的示例包括但不限于处理器、芯片组部件、图形处理器、数字信号处理器、微控制器等。在其它实施例中,可以制造半导体存储器。此外,可以在现有技术已知的宽范围的多种电子装置中使用集成电路或其它微电子器件。例如,在计算机系统(例如,台式机、膝上型计算机、服务器)、蜂窝电话、个人电子设备等中。可以将集成电路与系统中的总线和其它部件耦合。例如,处理器可以由一个或多个总线耦合到存储器、芯片组等。处理器、存储器和芯片组中的每个可以潜在地使用本文公开的方法来制造。
[0745]
图87示出了根据本公开的一种实施方式的计算装置8700。计算装置8700容纳板8702。板8702可以包括若干部件,包括但不限于处理器7904和至少一个通信芯片8706。处理器8704物理和电耦合到板8702。在一些实施方式中,至少一个通信芯片8706还物理和电耦合到板8702。在其它实施方式中,通信芯片8706是处理器8704的部分。
[0746]
取决于其应用,计算装置8700可以包括可以或可以不物理合电耦合到板8702的其它部件。这些其它部件包括但不限于易失性存储器(例如,dram)、非易失性存储器(例如,rom)、闪速存储器、图形处理器、数字信号处理器、密码处理器、芯片组、天线、显示器、触摸屏显示器、触摸屏控制器、电池、音频编解码器、视频编解码器、功率放大器、全球定位系统(gps)装置、罗盘、加速度计、陀螺仪、扬声器、相机和大容量存储装置(例如,硬盘驱动器、压缩磁盘(cd)、数字多用盘(dvd)等)。
[0747]
通信芯片8706能够实现用于向和从计算装置8700传输数据的无线通信。术语“无线”及其派生词可以用于描述可以通过使用经调制的电磁辐射通过非固态介质来传送数据的电路、装置、系统、方法、技术、通信信道等。该术语并不暗示相关联的装置不包含任何线路,尽管在一些实施例中它们可以不包含。通信芯片8706可以实施若干无线标准或协议中
的任何标准或协议,包括但不限于wi-fi(ieee 802.11系列)、wimax(ieee 802.16系列)、ieee 802.20、长期演进(lte)、ev-do、hspa+、hsdpa+、hsupa+、edge、gsm、gprs、cdma、tdma、dect、蓝牙、其衍生物、以及被指定为3g、4g、5g和更高版本的任何其它无线协议。计算装置8700可以包括多个通信芯片8706。例如,第一通信芯片8706可以专用于诸如wi-fi和蓝牙的较短距离无线通信,并且第二通信芯片8706可以专用于诸如gps、edge、gprs、cdma、wimax、lte、ev-do或其它的较长距离无线通信。
[0748]
计算装置8700的处理器8704包括封装于处理器8704内的集成电路管芯。在本公开的实施例的一些实施方式中,处理器的集成电路管芯包括一个或多个结构,例如根据本公开的实施方式构造的集成电路结构。术语“处理器”可以指处理来自寄存器或存储器或两者的电子数据以将该电子数据转换成可以存储于寄存器或存储器或两者中的其它电子数据的任何装置或装置的部分。
[0749]
通信芯片8706还包括封装于半导体芯片8706内的集成电路管芯。根据本公开的另一种实施方式,根据本公开的实施方式构造通信芯片的集成电路管芯。
[0750]
在其它实施方式中,计算装置8700内容纳的另一部件是根据本公开的实施例的实施方式构造的集成电路管芯。
[0751]
在各实施例中,计算装置8700可以是膝上型计算机、上网本、笔记本、超级本、智能电话、平板电脑、个人数字助理(pda)、超级移动pc、移动电话、台式计算机、服务器、打印机、扫描仪、监视器、机顶盒、娱乐控制单元、数字相机、便携式音乐播放器或数字视频录像机。在其它实施方式中,计算装置8700可以是处理数据的任何其它电子装置。
[0752]
图88示出了包括本公开的一个或多个实施例的内插器8800。内插器8800是用于将第一衬底8802桥接到第二衬底8804的居间衬底。第一衬底8802可以是例如集成电路管芯。第二衬底8804例如可以是存储器模块、计算机母板或另一集成电路管芯。通常,内插器8800的目的是将连接扩展到更宽的间距或将连接重新路由到不同的连接。例如,内插器8800可以将集成电路管芯耦合到球栅阵列(bga)8806,球栅阵列8806随后可以耦合到第二衬底8804。在一些实施例中,第一和第二衬底8802/8804附接到内插器8800的相对侧。在其它实施例中,第一和第二衬底8802/8804附接到内插器8800的同一侧。并且在其它实施例中,利用内插器8800互连三个或更多衬底。
[0753]
内插器8800可以由环氧树脂、玻璃纤维加强的环氧树脂、陶瓷材料或诸如聚酰亚胺的聚合物材料形成。在其它实施方式中,内插器可以由交替的刚性或柔性材料形成,其可以包括上文描述的用于半导体衬底中的材料相同的材料,例如硅、锗以及其它iii-v族和iv族材料。
[0754]
内插器可以包括金属互连8808和通孔8810,包括但不限于穿硅通孔(tsv)8812。内插器8800还可以包括嵌入式器件8814,包括无源和有源器件两者。这样的器件包括但不限于电容器、解耦电容器、电阻器、电感器、熔丝、二极管、变压器、传感器和静电放电(esd)器件。还可以在内插器8000上形成更复杂的器件,例如射频(rf)器件、功率放大器、功率管理器件、天线、阵列、传感器和mems器件。根据本公开的实施例,本文公开的设备或工艺可以用于内插器8800的制造中或用于内插器8800中包括的部件的制造中。
[0755]
图89是根据本公开的实施例的移动计算平台8900的等距视图,该移动计算平台8900采用了根据本文所述的一种或多种工艺制造或包括本文所述的一个或多个特征的集
成电路(ic)。
[0756]
移动计算平台8900可以是被配置为用于电子数据显示、电子数据处理和无线电子数据传输中的每者的任何便携式装置。例如,移动计算平台8900可以是平板电脑、智能电话、膝上型计算机等中的任一种,并且包括显示屏8905、芯片级(soc)或封装级集成系统8910和电池8913,在示例性实施例中,该显示屏8905为触摸屏(电容式、电感式、电阻式等)。如所示,由均高晶体管包装密度实现的系统8910中的集成的水平越高,移动计算平台8900中可以被电池8913或诸如固态驱动器的非易失性存储装置占用的部分就越大,或者,用于提高的平台功能性的晶体管栅极数量就越大。类似地,系统8910中的每个晶体管的载流子迁移率越大,功能性就越强。这样一来,本文描述的技术可以实现移动计算平台8900中的性能和形状因数提高。
[0757]
在展开图8920中进一步例示了集成系统8910。在示例性实施例中,封装器件8977包括根据本文描述的一种或多种工艺制造或包括本文描述的一个或多个特征的至少一个存储器芯片(例如,ram)、或至少一个处理器芯片(例如,多核微处理器和/或图形处理器)。封装器件8977连同功率管理集成电路(pmic)8915、包括宽带rf(无线)发射机和/或接收机的rf(无线)集成电路(rfic)8925(例如,包括数字基带和模拟前端模块,还包括发射路径上的功率放大器和接收路径上的低噪声放大器)及其控制器8911中的一个或多个一起进一步耦合到电路板8960。从功能上讲,pmic 8915执行电池功率调节、dc到dc转换等,因此具有耦合到电池8913的输入,并具有向所有其它功能模块提供电流供应的输出。如进一步所示,在示例性实施例中,rfic 8925具有耦合到天线的输出,以提供实施若干无线标准或协议中的任何标准或协议,包括但不限于wi-fi(ieee 802.11系列)、wimax(ieee 802.16系列)、ieee 802.20、长期演进(lte)、ev-do、hspa+、hsdpa+、hsupa+、edge、gsm、gprs、cdma、tdma、dect、蓝牙、其衍生物、以及被指定为3g、4g、5g和更高版本的任何其它无线协议。在替代实施方式中,这些板级模块中的每个可以被集成到耦合至封装器件8977的封装衬底的独立ic上或集成到耦合至封装器件8977的封装衬底的单个ic(soc)内。
[0758]
在另一方面中,半导体封装用于保护集成电路(ic)芯片或管芯,并且还为管芯提供通往外部电路的电接口。随着对更小电子器件的需求增加,半导体封装被设计得更紧凑,并且必须支持更大的电路密度。此外,对更高性能器件的需求导致需要改进的半导体封装,其能够实现薄的封装轮廓以及与后续组装处理兼容的低的总体翘曲。
[0759]
在实施例中,使用通往陶瓷或有机封装衬底的引线接合。在另一个实施例中,使用c4工艺向陶瓷或有机封装衬底安装管芯。具体而言,可以实施c4焊球连接以在半导体器件和衬底之间提供倒装芯片互连。倒装芯片或受控塌缩芯片连接(c4)是用于诸如集成电路(ic)芯片、mems或部件的半导体器件的安装的类型,其利用焊料凸块取代引线接合。在位于衬底封装的顶侧的位置的c4焊盘上沉积焊料凸块。为了向衬底安装半导体器件,将其上下倒置,有源侧在安装区域上面向下。焊料凸块用于将半导体器件直接连接到衬底。
[0760]
图90示出了根据本公开的实施例的倒装芯片式安装的管芯的截面图。
[0761]
参考图90,设备9000包括管芯9002,例如根据本文描述的一种或多种工艺制造或包括本文描述的一个或多个特征的集成电路(ic)。管芯9002上包括金属化焊盘9004。诸如陶瓷或有机衬底的封装衬底9006包括其上的连接9008。管芯9002和封装衬底9006通过耦合到金属化焊盘9004和连接9008的焊球9010而电连接。底部填充材料9012围绕焊球9010。
[0762]
处理倒装芯片可以类似于常规ic制造,具有若干额外的操作。在制造工艺接近结束时,对附接焊盘进行金属化以使它们更容易接受焊料。这典型地由若干处理构成。然后在每个金属化焊盘上沉积焊料小点。然后如正常情况那样从晶片切割下芯片。为了将倒装芯片附接到电路中,将芯片倒置,以将焊料点向下放到下方电子器件或电路板上的连接器上。然后典型地使用超声波或者替代地回流焊接工艺重新熔化焊料以产生电连接。这还在芯片的电路和下方安装之间留下了小空间。在大部分情况下,电绝缘粘合剂然后被“底部填充”以提供更强的机械连接,提供热桥,并确保焊料接头不会因为芯片和系统的其余部分的加热不同而受到应力。
[0763]
在其它实施例中,根据本公开的实施例,实施更新的封装和管芯到管芯互连方式,例如穿硅通孔(tsv)和硅内插器,以制造并入了根据本文描述的一种或多种工艺制造或包括本文描述的一个或多个特征的集成电路(ic)的高性能多芯片模块(mcm)和封装中系统(sip)。
[0764]
于是,本公开的实施例包括高级集成电路结构制造。
[0765]
尽管上面已经描述了具体实施例,但即使相对于特定的特征仅描述了单个实施例,这些实施例也并非旨在限制本公开的范围。在本公开中所提供的特征的示例旨在为说明性的而非限制性的,除非另有说明。以上描述旨在涵盖将对本领域的技术人员显而易见的具有本公开的有益效果的这种替代物、修改和等同物。
[0766]
本公开的范围包括本文所公开的任何特征或特征的组合(明示或暗示),或其任何概括,不管它是否减轻本文所解决的任何或全部问题。因此,在本技术(或要求享有其优先权的申请)进行期间可以针对特征的任何这种组合构想出新的权利要求。具体地,参考所附权利要求,可以将从属权利要求的特征与独立权利要求的特征组合,并可以通过任何适当方式而不是仅仅通过所附权利要求中列举的具体组合来组合来自相应独立权利要求的特征。
[0767]
以下示例关于其它实施例。不同实施例的各种特征可以通过各种方式与所包括的一些特征组合并排除其它特征以适应多种不同应用。
[0768]
示例性实施例1:一种集成电路结构,包括沿第一方向具有最长尺寸的第一多个半导体鳍状物,其中,第一多个半导体鳍状物中的相邻的个体半导体鳍状物在正交于第一方向的第二方向上彼此间隔开第一量。所述集成电路结构还包括沿第一方向具有最长尺寸的第二多个半导体鳍状物,其中,第二多个半导体鳍状物中的相邻的个体半导体鳍状物在第二方向上彼此间隔开所述第一量,并且其中,第一多个半导体鳍状物和第二多个半导体鳍状物中最接近的半导体鳍状物在第二方向上彼此间隔开第二量,第二量大于第一量但小于第一量的两倍。
[0769]
示例性实施例2:示例性实施例1的集成电路结构,其中,第一多个半导体鳍状物和第二多个半导体鳍状物包括硅。
[0770]
示例性实施例3:示例性实施例1或2的集成电路结构,其中,第一多个半导体鳍状物和第二多个半导体鳍状物与下面的单晶硅衬底连续。
[0771]
示例性实施例4:示例性实施例1、2或3的集成电路结构,其中,第一多个半导体鳍状物和第二多个半导体鳍状物中的个体半导体鳍状物具有从第一多个半导体鳍状物和第二多个半导体鳍状物中的个体半导体鳍状物的顶部到底部沿第二方向向外逐渐变细的侧
壁。
[0772]
示例性实施例5:示例性实施例1、2、3或4的集成电路结构,其中,第一多个半导体鳍状物正好具有五个半导体鳍状物,并且第二多个半导体鳍状物正好具有五个半导体鳍状物。
[0773]
示例性实施例6:一种制造集成电路结构的方法,包括形成第一初级骨干结构和第二初级骨干结构。该方法还包括形成与第一初级骨干结构和第二初级骨干结构的侧壁相邻的初级间隔体结构,其中第一初级骨干结构和第二初级骨干结构之间的初级间隔体结构被融合。该方法还包括去除第一初级骨干结构和第二初级骨干结构,并提供第一、第二、第三和第四次级骨干结构,其中第二和第三次级骨干结构被融合。该方法还包括形成与第一、第二、第三和第四次级骨干结构的侧壁相邻的次级间隔体结构。该方法还包括去除第一、第二、第三和第四次级骨干结构。该方法还包括利用次级间隔体结构对半导体材料进行图案化以在半导体材料中形成半导体鳍状物。
[0774]
示例性实施例7:示例性实施例6的方法,其中,利用第一初级骨干结构和第二初级骨干结构之间的子设计规则间隔对第一初级骨干结构和第二初级骨干结构进行图案化。
[0775]
示例性实施例8:示例性实施例6或7的方法,其中半导体材料包括硅。
[0776]
示例性实施例9:示例性实施例6、7或8的方法,其中,半导体鳍状物中的个体半导体鳍状物具有从半导体鳍状物中的个体半导体鳍状物的顶部到底部沿第二方向向外逐渐变细的侧壁。
[0777]
示例性实施例10:示例性实施例6、7、8或9的方法,其中,半导体鳍状物与下面的单晶硅衬底连续。
[0778]
示例性实施例11:示例性实施例6、7、8、9或10的方法,其中,利用次级间隔体结构对半导体材料进行图案化包括:形成沿第一方向具有最长尺寸的第一多个半导体鳍状物,其中所述第一多个半导体鳍状物中的相邻的个体半导体鳍状物在与所述第一方向正交的第二方向上彼此间隔开第一量;以及形成沿所述第一方向具有最长尺寸的第二多个半导体鳍状物,其中,第二多个半导体鳍状物中的相邻的个体半导体鳍状物沿第二方向彼此间隔开第一量,并且其中,第一多个半导体鳍状物和第二多个半导体鳍状物中最接近的半导体鳍状物在第二方向上彼此间隔开第二量,第二量大于第一量。
[0779]
示例性实施例12:示例性实施例11的方法,其中,第二量小于第一量的两倍。
[0780]
示例性实施例13:示例性实施例12的方法,其中,第二量是第一量的至少两倍。
[0781]
示例性实施例14:示例性实施例11、12或13的方法,其中,第一多个半导体鳍状物正好具有五个半导体鳍状物,并且第二多个半导体鳍状物正好具有五个半导体鳍状物。
[0782]
示例性实施例15:一种集成电路结构,包括沿第一方向具有最长尺寸的第一多个半导体鳍状物,其中,第一多个半导体鳍状物中的相邻的个体半导体鳍状物在与第一方向正交的第二方向上彼此间隔开第一量。集成电路结构还包括沿第一方向具有最长尺寸的第二多个半导体鳍状物,其中第二多个半导体鳍状物中的相邻的个体半导体鳍状物在第二方向上彼此间隔开第一量,其中,第一多个半导体鳍状物和第二多个半导体鳍状物中最接近的半导体鳍状物在第二方向上彼此间隔开第二量,第二量大于第一量,并且其中,第一多个半导体鳍状物和第二多个半导体鳍状物间隔开不包括去除的鳍状物的人工制品的区域。
[0783]
示例性实施例16:示例性实施例15的集成电路结构,其中,第一多个半导体鳍状物
和第二多个半导体鳍状物包括硅。
[0784]
示例性实施例17:示例性实施例15或16的集成电路结构,其中,第一多个半导体鳍状物和第二多个半导体鳍状物与下面的单晶硅衬底连续。
[0785]
示例性实施例18:示例性实施例15、16或17的集成电路结构,其中,第一多个半导体鳍状物和第二多个半导体鳍状物中的个体半导体鳍状物具有从第一多个半导体鳍状物和第二多个半导体鳍状物中的个体半导体鳍状物的顶部到底部沿第二方向向外逐渐变细的侧壁。
[0786]
示例性实施例19:示例性实施例15、16、17或18的集成电路结构,其中,第一多个半导体鳍状物正好具有五个半导体鳍状物,并且第二多个半导体鳍状物正好具有五个半导体鳍状物。
[0787]
示例性实施例20:示例性实施例15、16、17、18或19的集成电路结构,其中,第二量大于第一量并且小于第一量的两倍。
当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1