eMMC的控制方法及基于FPGA的eMMC控制器与流程

文档序号:11136067阅读:来源:国知局
技术总结
本发明公开了一种eMMC的控制方法及基于FPGA的eMMC控制器。该eMMC的控制方法应用于基于FPGA的eMMC控制器,所述eMMC控制器与N片eMMC相连,N为大于1的正整数;所述方法包括:向所述N片eMMC并行发送操作指令;并行接收所述N片eMMC响应所述操作指令分别发送的反馈信息;根据所述反馈信息确定未成功实现所述操作指令的eMMC,并向所述未成功实现所述操作指令的eMMC并行发送所述操作指令,直到所述N片eMMC都成功实现所述操作指令。由于FPGA是基于硬件编程语言实现的器件,可以实现硬件模块的并行使用与控制,因此,本申请的eMMC控制器能够同时实现对多片eMMC的控制。

技术研发人员:蒋东东
受保护的技术使用者:北京润科通用技术有限公司
文档号码:201610835882
技术研发日:2016.09.20
技术公布日:2017.02.15

当前第3页1 2 3 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1