一种基于VPX单槽结构的存储模块的制作方法

文档序号:14655795发布日期:2018-06-12 03:43阅读:395来源:国知局
本发明属于VPX板卡设备领域,具体的说,是一种基于VPX单槽结构的存储模块。
背景技术
:现目前市面上6UVPX存储模块性能较低,普遍读写带宽为2~3GB/s。例如:申请号为:201420836000.0;发明名称为:一种大容量固态存储板的中国发明专利文件中公开了一种大容量固态存储板,其特征是:在1块6UVPX标准板卡上,采用FPGA和NANDFLASH阵列,实现1TB存储容量和1GBPs读写速率,存储板上有4个NANDFLASH阵列,用FPGA代码实现NANDFLASH阵列控制器,NANDFLASH存储阵列采用滚筒存储方式,以保证各个NANDFLASH芯片的编程次数尽量均等,板卡数据接口为GTH高速口,实现数据的存入和读出,通信接口为千兆以太网口,实现板卡控制和管理。但其板卡存储容量为1TB,读写速率为1Gbps,无法满足读写速率高、存储容量大的需求。又例如:申请号为:201710368307.0;发明名称为:一种基于PowerPC的SRIO接口固态硬盘设计方法的中国发明专利公开文件中公开了一种基于PowerPC的SRIO接口固态硬盘设计方法,将多个PowerPC的PCIe通过FPGA进行同步后挂载多个PCIeSSD固态硬盘,其中固态硬盘通过SRIO接口与外界进行交互,将SRIO接口接收到的数据暂存到DDR内存中,将数据从DDR内存发送到PowerPC的PCIe接口,进而发送到FPGAPCIe接口,在FPGA中将多个PowerPC传输过来的数据进行同步处理后,写入SSD固态硬盘。此结构虽然一定程度上提高了读写速率和存储容量,但任然无法做到单模块存储容量达16TB且连续读写带宽6Gb/s(6Gbp/s)以上的性能要求。另一方面,能达到6GB/s带宽的产品无法集成到1块6UVPX板卡上,体积较大。技术实现要素:针对现有技术中存在的问题和不足,本发明的目的在于提供一种基于VPX单槽结构的存储模块,不仅能够满足单模块存储容量达16TB且连续读写带宽6Gb/s以上的性能要求,而且将16片SSD固态硬盘采用叠层布置的方式集成在一个槽位内,有效缩减高速存储模块的尺寸。本发明通过下述技术方案实现:一种基于VPX单槽结构的存储模块,基于6UVPX标准卡板,所述6UVPX标准卡板包括面板、安装在面板两端的助拔装置、与面板连接的板卡;所述板卡上安装有FPGA、PowerPC、电源芯片和板间连接器,PowerPC通过FPGA外挂SSD存储组,所述SSD存储组包括16个通过SATA3.0数据线与FPGA连接的SSD固态硬盘,每片SSD固态硬盘的容量为1T,16个拆除外壳的SSD固态硬盘通过柔性印制板与板间连接器连接并叠层设置在一个槽位空间内;所述FPGA通过PCIe3.0数据线连接PowerPC。本发明中FPGA、SSD固态硬盘均支持SATA3.0标准。为了更好的实现本发明,进一步地,所述板间连接器包括第一QTH连接器、第二QTH连接器、QSH连接器;16个SSD固态硬盘分为由下至上叠层分布的4层,每层4片,上面两层SSD固态硬盘的SSD固态硬盘接口信号通过一块柔性印制板接到第一QTH连接器上,下面两层SSD固态硬盘的SSD固态硬盘接口信号通过另一块柔性印制板接到第二QTH连接器上;所述第一QTH连接器、第二QTH连接器为堆叠高度不同的两个QTH连接器,且第一QTH连接器、第二QTH连接器分别对插到QSH连接器上。为了更好的实现本发明,进一步地,每层SSD固态硬盘都设置散热冷板。为了更好的实现本发明,进一步地,所述FPGA和电源芯片设置在板卡的正面,PowerPC设置在板卡的背面,所述板卡的正反面都加装铝合金散热板进行辅助散热。为了更好的实现本发明,进一步地,所述面板上设置2个MPO光口、3个LC光口、1个RJ45网口;所述板卡与面板连接的一端为顶端、远离面板的一端为底端,板卡的底端安装P0、P1、P2、P3、P4、P6六个接口。为了更好的实现本发明,进一步地,所述光模块中的MPO光收模块与FPGA通过12路GTHRX连接,同时光模块中的MPO光发模块与FPGA通过12路GTHTX连接;所述LC光口中的2个LC光口各自通过1路GTH与FPGA连接,另1个LC光口通过1路万兆网线接第一PHY芯片再通过XFI接口与PowerPC连接;所述RJ45网口先通过1000Base-T以太网线接第二PHY芯片再通过rgmii接口接入PowerPC,同时第二PHY芯片通过sgmii接口接入FPGA。为了更好的实现本发明,进一步地,所述P0接口为标准供电连接器;所述P1接口通过4路RapidIO×4与FPGA连接;所述P2接口通过2路PCIe2.0×8与FPGA连接;所述P3接口一是通过sgmii接口接入FPGA,二是通过1路1000Base-T以太网线或1路万兆网线接第三PHY芯片且第三PHY芯片通过sgmii接口接入FPGA、通过rgmii接口接入PowerPC,三是通过1路万兆网线接第四PHY芯片且第四PHY芯片通过XFI接口与PowerPC连接;所述P4接口通过2路sgmii与PowerPC连接;所述P6接口通过14路GTH与PowerPC连接。为了更好的实现本发明,进一步地,所述板卡上还安装1个MCU,所述MCU通过监测链路分别与FPGA、PowerPC、MPO光口、LC光口、RJ45网口、P0-P6接口连接(P5未使用)。为了更好的实现本发明,进一步地,所述板卡上还设置1个通过Gpio分别与FPGA、PowerPC、MCU连接的CPLD模块。为了更好的实现本发明,进一步地,所述FPGA的型号为XC7VX690T-2FFG1927I;所述PowerPC的型号为T2080NXN8TTB;所述SSD固态硬盘的型号为三星850Pro。为了更好的实现本发明,进一步地,所述FPGA的型号为XC7VX690T-2FFG1927I。为了更好的实现本发明,进一步地,所述PowerPC的型号为T2080NXN8TTB。为了更好的实现本发明,进一步地,所述SSD固态硬盘的型号为三星850Pro。所述SATA3.0标准速率为6Gb/s,其性能要高于SATA2.0数据线。SATA2.0数据线和SATA3.0数据线的线缆,从外观上面看不出什么太大的差别,不同厂家生产的线缆可能在颜色和线缆上面的标识上面明确了线缆的规格支持的是SATA2.0或SATA3.0,不过无论是SATA2.0的线缆用在SATA3.0硬盘和主板之间,还是SATA3.0的线缆用在SATA2.0的硬盘和主板之间都是可以通用的,不会有任何规格上的兼容问题。只不过,如果硬盘和主板都是支持SATA3.0的设备标准,用的却是SATA2.0的线缆,则实际的效果也就是SATA2.0的标准了,只有用SATA3.0数据线连接支持SATA3.0的硬盘和主板时,才能达到SATA3.0的标准。本发明与现有技术相比,具有以下优点及有益效果:(1)本发明可应用在雷达、通信等需要进行高速数据采集回放的场合。本发明基于VPX单槽结构的6Gb/s存储模块在一个标准6U5HP槽位内实现16TB存储容量和6Gb/s数据读写带宽。(2)本发明设置均支持SATA3.0标准的FPGA、SSD固态硬盘,FPGA通过SATA3.0接口与SSD固态硬盘进行数据交换,SATA3.0标准速率为6Gb/s;FPGA与PowerPC间为PCIe3.0接口,提供高速文件管理信息交换通道;同时,FPGA利用其并发及高数据位宽特性,实现高速数据采集、存储和回放,采用RAID0模式操作16块SSD固态硬盘,实现理论读写速度叠加和大容量存储。(3)本发明中SSD固态硬盘采用4×4叠层分布的结构集成在一个槽位中,有效缩小高速存储模块的体积。(4)本发明,支持模块并联:通过MPO光口实现模块间并联,实现容量和带宽扩展。模块间通过专用的光纤实现同步,同步精度在100ns以内。(5)本发明,接口丰富:支持多种标准高速接口协议,部分接口速率可调,系统友好,便于用户使用等特点。附图说明图1为本发明中整个存储模块的结构框图。图2为存储模块模型的一个立体结构示意图。图3为存储模块模型的另一个立体结构示意图。图4为SSD固态硬盘存储速率测试的测试框图。图5为开始记录前的磁盘容量。图6为记录1分钟后磁盘的剩余容量。图7为统计满盘写的存储性能时的测试结果。图8为典型的级联应用示意图。图9为模块并联时同步应用示意图。图10为光模块连接关系示意图。其中:001、第一QTH连接器;002、第二QTH连接器;003、柔性印制板;004、SSD固态硬盘;005、MPO光口;006、LC光口;007、RJ45网口。具体实施方式实施例1:在高速数据采集回放系统中,为满足数据存储速率及容量要求,要求存储模块具有极高的数据读写带宽。在标准机箱内搭配的存储模块要求控制其尺寸,要求在一个槽位内实现系统要求的带宽及容量。在现有技术上对存储模块结构设计、散热设计、电路设计、软件设计进行改进,难度很大。本发明可应用在雷达、通信等需要进行高速数据采集回放的场合。本发明基于VPX单槽结构的6Gb/s存储模块在一个标准6U5HP槽位内实现16TB存储容量和6Gb/s数据读写带宽。如图1、图2、图3所示,一种基于VPX单槽结构的存储模块,基于6UVPX标准卡板,所述6UVPX标准卡板包括面板、安装在面板两端的助拔装置、与面板连接的板卡;所述板卡上安装有FPGA、PowerPC、电源芯片和板间连接器,PowerPC通过FPGA外挂SSD存储组,所述SSD存储组包括16个通过SATA3.0数据线与FPGA连接的SSD固态硬盘004,每片SSD固态硬盘004的容量为1T,16个拆除外壳的SSD固态硬盘004通过柔性印制板003与板间连接器连接并叠层设置在一个槽位空间内;所述FPGA通过PCIe3.0数据线连接PowerPC。进一步,所述板间连接器包括第一QTH连接器001、第二QTH连接器002、QSH连接器;16个SSD固态硬盘004分为由下至上叠层分布的4层,每层4片,上面两层SSD固态硬盘004的SSD接口信号通过一块柔性印制板003接到第一QTH连接器001上,下面两层SSD固态硬盘004的SSD接口信号通过另一块柔性印制板003接到第二QTH连接器002上;所述第一QTH连接器001、第二QTH连接器002为堆叠高度不同的两个QTH连接器,且第一QTH连接器001、第二QTH连接器002分别对插到QSH连接器上。每层SSD固态硬盘004都设置散热冷板。所述柔性印制板与板卡连接的一端设置有用于焊接固定板卡pin针的星月半孔。所述星月半孔的前端设置邮票孔。所述FPGA的型号为XC7VX690T-2FFG1927I。此型号的FPGA具有80个GTH,单个GTH速率可到28.05Gb/s,同时集成3个PCIe3.0控制器。FPGA利用其并发及高数据位宽特性,实现高速数据采集、存储和回放,采用RAID0模式操作16块SSD固态硬盘004,实现理论读写速度叠加。所述PowerPC的型号为T2080NXN8TTB。此型号的PowerPC具有4核8线程,单核1.8GHz,提供16个Serdes高速接口,支持PCIe、XFI、SRIO等接口。PowerPC实现文件系统管理,支持通用文件操作,万兆网接口实现数据快速导出。所述SSD固态硬盘004的型号为三星850Pro。此型号的SSD固态硬盘004,单盘1TB,写速率可到520MB/s,读速率可到540MB/s。SSD存储组,用于高速存储。FPGA通过SATA3.0接口与SSD固态硬盘004进行数据交换,SATA3.0标准速率为6Gb/s。FPGA与PowerPC间为PCI33.0接口,提供高速文件管理信息交换通道。所述SATA3.0标准速率为6Gb/s,其性能要高于SATA2.0数据线。SATA2.0数据线和SATA3.0数据线的线缆,从外观上面看不出什么太大的差别,不同厂家生产的线缆可能在颜色和线缆上面的标识上面明确了线缆的规格支持的是SATA2.0或SATA3.0,不过无论是SATA2.0的线缆用在SATA3.0硬盘和主板之间,还是SATA3.0的线缆用在SATA2.0的硬盘和主板之间都是可以通用的,不会有任何规格上的兼容问题。只不过,如果硬盘和主板都是支持SATA3.0的设备标准,用的却是SATA2.0的线缆,则实际的效果也就是SATA2.0的标准了,只有用SATA3.0数据线连接支持SATA3.0的硬盘和主板时,才能达到SATA3.0的标准。本实施例中,FPGA利用其并发及高数据位宽特性,实现高速数据采集、存储和回放,采用RAID0模式操作16片SSD固态硬盘004,实现理论读写速度叠加;再者,FPGA通过SATA3.0接口与SSD固态硬盘004进行数据交换。FPGA与PowerPC间为PCI33.0接口,提供高速文件管理信息交换通道。所述SATA3.0标准速率为6Gb/s,1片SSD固态硬盘004的容量为1T,16片SSD固态硬盘004的容量为16T。本实施例中存储模块能达到16TB存储容量和6Gb/s数据读写带宽的性能。本实施例中所述存储模块,主要用于雷达信号采集和分析系统,对雷达回波信号进行高速实时记录,保留信号全部原始特征。分析时,将采集的数据按数据原始特性进行回放分析,并可根据分析需要,进行多种方式回放。实施例2:本实施例在实施例1或实施例2的基础上进行优化,所述存储模块还包括MCU。所述MCU的型号为STM32F405RCT6,典型功耗0.5W。利用STM32F405处理器多IIC接口、低功耗等特点,组建基于IIC总线的板卡内状态监测及管理信号通道。此总线主要监测板内FPGA、PowerPC、接口芯片、光模块等主要功能芯片的工作状态,组成通用数据帧,并通过VPXP0上的IIC接口向外播报。如图1所示,MCU通过RS232连接J30J-9TJ接口。J30J-9TJ为调试接口,包含串口,可用于监控记录回放模块内部工作状态等信息。如图1所示,RJ45通过1000Base-T接入第二PHY接口,同时第二PHY接口分别与FPGA、PowerPC连接。如图4所示,RJ45接口用于记录回放模块控制,上位机软件通过RJ45接口以太网向记录回放模块发送控制命令。实施例3:本实施例在实施例1或实施例2的基础上进行优化,所述VPX的标准连接器上设置P0、P1、P2、P3、P4、P6共六个接口连接器。P0~P6为VPX结构板卡标准连接器,用于VPX板卡与机箱背板连接。在这些连接器上定义了各种高速信号接口,如SRIO、PCIE、万兆网、Aurora等。P0为标准供电连接器,P5不使用。所述FPGA通过4路RapidIO×4与P1接口连接,所述FPGA通过2路PCIe2.0×8与P2接口连接,所述FPGA通过2路千兆网、1路万兆网与P3接口连接,所述FPGA通过2路SGMII与P4接口连接,所述FPGA通过14路GTH与P6接口连接。P3接口连接器上的10G接口、1000Base-T接口都是为了向外提供丰富的控制管理接口,并且因数据量大,向外导出数据时,使用万兆网可提供比千兆网快10倍的导出速度。如表1所示,VPX接口:P1、P2、P3、P4、P6,定义如下:VPX连接器信号定义P12路4×RapidIOP22路8×PCIExpressP32路千兆网,1路万兆网P42路SGMIIP613路SerdesTx/Rx,1路同步SerdesTx/Rx表1进一步,光纤接口:1个MPO12芯发送端口,1个MPO12芯接收端口,2路10GEthernet,1路GigabitEthernet;以太网:RJ45接口GigabitEhernet。MPO光口005、LC光口006是不同类型的光模块,提供板卡与其他设备间的高速信号光纤通道,实现长距离传输(如相距几米的机柜间)。在VPXP2连接器上有2路PCIe×8接口,通过背板实现模块间级联。典型的级联应用如图8所示,当记录回放模块1存储空间满后,数据自动转存到记录回放模块2。此部分通过FPGA实现。如图8所示,通过记录回放模块前面板的LC高速接口实现模块间处理器同步。模块并联时,同步应用如图9、图10所示,通过记录回放模块前面板的LC高速接口实现模块间处理器同步,而且模块并联时同步精度在100ns以内。本实施例的其他部分与实施例1或2相同,故不再赘述。实施例4:本实施例在实施例1-实施例3任一项的基础上进行优化,所述FPGA通过GTH与光模块连接。其中,所述FPGA通过12路GTHRX与光模块中的MPO光收模块连接,同时通过12路GTHTX与光模块中的MPO光发模块连接。所述FPGA通过1路GTH与光模块中的LC光模块连接。所述MPO、LC是不同类型的光模块,提供板卡与其他设备间的高速信号光纤通道,实现长距离传输(如相距几米的机柜间)。本实施例的其他部分与实施例1-3任一项相同,故不再赘述。实施例5:一种基于VPX单槽结构的存储模块,在一块6UVPX标准卡板上,设置FPGA和PowerPC,PowerPC通过FPGA外挂SSD存储组,所述SSD存储组包括16个通过SATA3.0数据线与FPGA连接的SSD固态硬盘004,每片SSD固态硬盘004的容量为1T;所述FPGA通过PCIe3.0数据线连接PowerPC。所述板卡上还安装1个MCU,所述MCU通过监测链路分别与FPGA、PowerPC、MPO光口005、LC光口006、RJ45网口007、P0-P6接口连接(P5未使用)。所述板卡上还设置1个通过Gpio分别与FPGA、PowerPC、MCU连接的CPLD模块。GeneralPurposeInputOutput(通用输入/输出)简称为GPIO,或总线扩展器,人们利用工业标准I2C、SMBus或SPI接口简化了I/O口的扩展。当微控制器或芯片组没有足够的I/O端口,或当系统需要采用远端串行通信或控制时,GPIO产品能够提供额外的控制和监视功能。所述FPGA的型号为XC7VX690T-2FFG1927I。此型号的FPGA具有80个GTH,单个GTH速率可到28.05Gb/s,同时集成3个PCIe3.0控制器。所述PowerPC的型号为T2080NXN8TTB。此型号的PowerPC具有4核8线程,单核1.8GHz,提供16个Serdes高速接口,支持PCIe、XFI、SRIO等接口。所述SSD固态硬盘004的型号为三星850Pro。此型号的SSD固态硬盘004,单盘1TB,写速率可到520MB/s,读速率可到540MB/s。所述MCU的型号为STM32F405。此型号的MCU,典型功耗0.5W。利用STM32F405处理器多IIC接口、低功耗等特点,组建基于IIC总线的板卡内状态监测及管理信号通道。此总线主要监测板内FPGA、PowerPC、接口芯片、光模块等主要功能芯片的工作状态,组成通用数据帧,并通过VPXP0上的IIC接口向外播报。其中,接口芯片对应P1接口、P2接口、P3接口、P4接口、P6接口,光模块对应MPO光口005、LC光口006。所述VPX上的接口和光接口都可实现数据采集和回放。FPGA主要实现对外高速接口通信、板内高速缓存、SSD固态硬盘004RAID0模式读写、与PowerPC交互文件信息、多种数据回放模式(单帧、多帧、循环等)。FPGA内部集成microblaze处理器,用于与PowerPC交互信息和硬盘管理。PowerPC主要实现对SSD固态硬盘004存储资源进行实时分配和管理。SSD固态硬盘004主要用于高速存储。CPLD主要实现板内电源控制和复位控制。MCU主要实现板内主要器件运行时状态监控。本实施例中模块自带上电复位,由CPLD完成上电复位管理。本实施例中,基于VPX单槽结构的6Gb/s存储模块,采用FPGA+PowerPC,FPGA外挂SSD存储组结构,实现数据存储功能。FPGA实现高速数据采集回放接口及SSD固态硬盘004读写,PowerPC实现文件系统管理及万兆网数据导入导出接口,FPGA和PowerPC间通过PCIe3.0总线进行数据交换。所述存储模块主要功能列表:①支持光纤数据的记录、回放;②支持磁盘的循环覆盖写;③支持16片固态硬盘,每片的容量为1T;④支持6Gb/s数据读写带宽;⑤支持采集数据的导出、删除;⑥支持掉电异常恢复。产品特点:1、存储带宽高:连续数据记录和回放带宽可达6.4GB/s,在同类产品中处于领先水平。2、支持模块级联:通过背板实现模块间级联,实现容量扩展。级联总线为PCIExpress2.0×8;3、支持模块并联:通过MPO光口005实现模块间并联,实现容量和带宽扩展。模块间通过专用的光纤实现同步,同步精度在100ns以内。4、接口丰富:支持多种标准高速接口协议,部分接口速率可调。本实施例中对外接口包括VPX接口、光纤接口、以太网接口、状态监测接口、状态指示接口。其中,VPX接口:P0、P1、P2、P3、P4、P6。光纤接口,包括2个MPO光口005和2路10GEthernet,1路GigabitEthernet。2个MPO光口005是指1个MPO12芯发送端口、1个MPO12芯接收端口。以太网接口:RJ45接口GigabitEhernet。状态监测接口:支持温度、电压等监测。状态指示接口:前面板LED。本实施例中存储模块的软件特征:文件管理:具有NAS存储构架,支持CIFS文件系统。满足文件共享,可进行如本地磁盘一样所有文件/文件夹读、写、创建、复制、粘贴、删除等操作;上位机软件:开机记录、写满是否覆盖、记录通道选择、记录控制方式等功能参数通过软件界面进行设置,界面设置参数保存在记录模块Flash中。本实施例中,存储模块集成了16块SSD固态硬盘004。为在1个槽位的空间内放置下16个标准SSD固态硬盘004,将SSD固态硬盘004外壳拆除后,采用叠层方式布局。每层4个SSD固态硬盘004,共4层。上面两层的SSD固态硬盘004接口信号通过一块柔性印制板003转接到1个QTH连接器上,下面两层的SSD固态硬盘004接口信号通过另一块柔性印制板003转接到1个QTH连接器上,两个QTH连接器选用对应不同堆叠高度的型号分别对插到板卡的QSH连接器上此存储模块主要发热器件为FPGA、PowerPC、SSD固态硬盘004及电源芯片。为满足风冷系统散热要求,从实际电路布局情况考虑,FPGA和电源芯片放在板卡正面,PowerPC芯片放在板卡背面。板卡正反面都加装铝合金散热板,进行辅助散热。并且针对每层SSD固态硬盘004都设计散热冷板,镶嵌在SSD固态硬盘004夹层中,起到加固及散热双重效果。数据记录(叫存储带宽,也叫记录带宽):数据从板卡对外接口输入(如GTH、MPO),到达FPGA,再由FPGA写入SSD固态硬盘004中。数据回放(叫回放带宽):FPGA从SSD固态硬盘004中读出数据,通过对外接口输出。在数据记录和回放路径上的每个环节都会对数据带宽产生影响,数据带宽主要受限于三个环节:对外接口的性能(接口带宽性能),处理器性能(处理器硬件性能和软件性能),SSD固态硬盘004性能。SSD固态硬盘004存储速率测试采用板内FPGA模拟数据源方式进行,上位机软件控制采集板初始化完成后,触发FPGA内部数据源开始输出数据,数据自动写入到SSD固态硬盘004。数据写入过程中通过以太网监控SSD固态硬盘004存储状态,SSD固态硬盘004可用存储空间低于设定值后停止数据记录。记录完成后,由上位机软件启动数据回放功能,将SSD固态硬盘004数据快速导出,并在FPGA内部实现数据正确性检查。在此过程中,FPGA内部校验统计模块完成存取参数统计;上位机软件同时根据检测结果统计各项指标。测试框图如图4所示:通过查看磁盘剩余容量的方法计算数据的存储带宽,具体测试方法:开始新的任务前查看磁盘的剩余容量,然后开始任务和记录,使用秒表计时,记录100s后查看停止记录和任务,并查看此时的磁盘剩余容量,通过计算磁盘剩余容量的差值计算存储数据的带宽。开始记录前的磁盘容量如图5所示;记录1分钟(60s),停止记录,查看磁盘的剩余容量,如图6所示;由图6中剩余容量计算平均存储带宽,计算方法如下:(814–787)×16/60=7.2(GB/s)也就是说,计算出记录的平均存储带宽为7.2GBps左右。通过写满磁盘的方法的验证模块的存储速度。本次测试不断循环写满磁盘3次,记下记录的开始时间和结束时间。每块1T的磁盘的初始容量为953G因此16块的总容量为15248G,因此可根据写满磁盘的总时间计算存储速率。进行10轮带宽测试,测试结果如表2所示:表2统计满盘写的存储性能,测试结果如图7所示,从上述的测试结果来看,模块的存储性能可达7.2GBps,持续循环写盘性能比较稳定,维持在7.16GBps。以上所述,仅是本发明的较佳实施例,并非对本发明做任何形式上的限制,凡是依据本发明的技术实质对以上实施例所作的任何简单修改、等同变化,均落入本发明的保护范围之内。当前第1页1 2 3 
当前第1页1 2 3 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1