用于确定图案化工艺的参数的方法和设备与流程

文档序号:16365041发布日期:2018-12-22 08:23阅读:431来源:国知局
用于确定图案化工艺的参数的方法和设备与流程

本申请要求以下申请的优先权:2016年3月1日的美国申请号62/301,880、2016年12月16日的美国申请号62/435,662、2016年12月16日的美国申请号62/435,670、2016年12月16日的美国申请号62/435,649、2016年12月16日的美国申请号62/435,630和2017年2月14日的美国申请号62/458.932,这些申请的全部内容通过引用并入本文。

本说明书涉及一种方法和设备,用于确定例如用于在衬底上创建图案的工艺的参数(诸如套刻)以及哪个确定的参数可被用于对与处理相关的一个或多个变量进行设计、监视、调整等。

背景技术

光刻设备是将所需图案施加到衬底上的一种机器,通常施加到衬底的目标部分上。例如可以在集成电路(ic)或被设计为有功能的其他器件的制造中使用光刻设备。在该情况下,可以使用图案化装置(其可替代地被称为掩模或掩模版)来生成要在被设计为有功能的器件的单独层上形成的电路图案。可以将该图案转移到衬底(例如,硅晶片)上的目标部分(例如,包括裸片的一部分、一个裸片或若干裸片)上。图案的转移通常是经由在衬底上所提供的辐射敏感材料(抗蚀剂)层上进行成像。通常,单个衬底将包含连续被图案化的相邻目标部分的网络。已知的光刻设备包括所谓的步进器以及所谓的扫描器,在步进器中通过一次将整个图案曝光在目标部分上来照射每个目标部分,在扫描器中通过在给定方向(“扫描”方向)上通过辐射光束扫描图案、而平行或反平行于该方向同时扫描衬底来照射每个目标部分。也可以通过将图案压印到衬底上来将图案从图案化装置转移到衬底。



技术实现要素:

制造诸如半导体器件的器件通常涉及使用多种制造工艺来处理衬底(例如,半导体晶片),以形成器件的各种特征并且经常是器件的多个层。通常使用例如沉积、光刻、蚀刻、化学机械抛光和离子注入来制造和处理这些层和/或特征。可以在衬底上的多个裸片上制造多个器件,然后将其分离成单独的器件。该器件制造工艺可以被认为是图案化工艺。图案化工艺涉及图案转移步骤,诸如使用光刻设备的光学和/或纳米压印光刻,以在衬底上提供图案,并且通常但是可选地涉及一个或多个相关的图案处理步骤,诸如通过显影设备的抗蚀剂显影、使用烘烤工具对衬底的烘烤、通过蚀刻设备对图案的蚀刻等。此外,在图案化工艺中涉及一个或多个量测过程。

在图案化工艺期间的各个步骤处使用量测过程来监视和/或控制该工艺。例如,量测过程被用来测量衬底的一个或多个特性,诸如在图案化工艺期间在衬底上形成的特征的相对位置(例如,配准、套刻、对准等)或尺寸(例如,线宽、临界尺寸(cd)、厚度等等),使得例如可以从一个或多个特性确定图案化工艺的性能。如果一个或多个特性是不可接受的(例如,超出针对(一个或多个)特征的预定范围),则可以例如基于一个或多个特征的测量值来设计或更改图案化工艺的一个或多个变量,使得通过图案化工艺制造的衬底具有(一个或多个)可接受的特性。

随着光刻和其他图案化工艺技术的进步,功能元件的尺寸不断减小,而每个器件的功能元件诸如晶体管的数量数十年来在稳定地增加。同时,对在套刻、临界尺寸(cd)等方面的精度要求变得越来越严格。在图案化工艺中将不可避免地产生诸如套刻误差、cd误差等等之类的误差。例如,成像误差可以从光学像差、图案化装置加热、图案化装置误差和/或衬底加热中产生,并且可以在例如套刻、cd等方面被表征。另外地或可替代地,可以在图案化工艺的其他部分中(诸如在蚀刻、显影、烘烤等中)引入误差,并且类似地可以在例如套刻、cd等方面被表征。该误差可能引起器件功能方面的问题,包括器件功能故障或功能器件的一个或多个电气问题。因此,希望能够表征一个或多个这些误差并采取步骤来对图案化工艺进行设计、修改、控制等,以减少或最小化这些误差中的一个或多个误差。

在一个实施例中,提供了一种量测目标,该量测目标包括:被布置为通过第一图案化工艺创建的第一结构;被布置为通过第二图案化工艺创建的第二结构,其中所述第一结构和/或第二结构不被用来创建器件图案的功能方面,并且其中所述第一结构和第二结构一起形成单位单元(unitcell)的一个或多个实例,所述单位单元在标称物理配置下具有几何对称性,并且其中所述单位单元具有特征,所述特征由于所述第一图案化工艺、所述第二图案化工艺和/或另一图案化工艺中的图案放置的相对偏移而在与所述标称物理配置不同的物理配置下引起单位单元中的不对称性。

在一个实施例中,提供了一种计算机程序产品,该计算机程序产品包括其上记录有数据结构的计算机非瞬态可读介质,该数据结构对应于如本文所述的量测目标。在一个实施例中,提供了一种掩模版,该掩膜版包括对应于如本文所述的量测目标的图案。

在一个实施例中,提供了一种方法,该方法包括:创建用于量测对象的第一结构,所述第一结构由创建器件的对应器件特征的第一图案化工艺创建;创建用于所述量测目标的第二结构,所述第二结构由创建器件的另一对应器件特征的第二图案化工艺创建,其中所述第一结构和第二结构一起形成单位单元的一个或多个实例,所述单位单元在标称物理配置下具有几何对称性;以及在所述量测目标中引入特征,所述特征由于所述器件中的器件特征的位置与所述器件中的器件特征的预期位置的相对偏移而在与所述标称物理配置不同的物理配置下引起所述单位单元中的不对称性。

在一个实施例中,提供了一种方法,包括:测量通过如本文所述的量测重定向的辐射,所述量测使用图案化工艺而被转移至衬底,以确定图案化工艺的参数的值。在一个实施例中,所述参数包括套刻和/或边缘放置误差。

在一方面中,提供了一种非瞬态计算机程序产品,该非瞬态计算机程序产品包括机器可读指令,所述机器可读指令用于致使处理器系统引起本文所述的方法的执行。在一方面中,提供了一种计算机程序产品,该计算机程序产品包括其上记录有指令的计算机非瞬态可读介质,所述指令在由计算机执行时实施本文所述的方法或一个或多个过程步骤。

在一方面中,提供了一种用于测量图案化工艺的对象的量测设备,所述量测设备被配置为执行如本文所述的方法。在一方面中,提供了一种用于检查图案化工艺的对象的检查设备,该检查设备可操作用于执行如本文所述的方法。

在一方面中,提供了一种系统,该系统包括:量测设备,所述量测设备被配置为将辐射光束提供到对象表面上并检测由所述对象表面上的结构重定向的辐射;和如本文所述的计算机程序产品。在一个实施例中,所述系统还包括光刻设备,所述光刻设备包括:支撑结构,所述支撑结构被配置为保持图案化装置以调制辐射光束;以及投影光学系统,所述投影光学系统被布置为将经调制的辐射光束投射到辐射敏感的衬底上,其中所述对象是衬底。

在一个实施例中,提供了一种系统,该系统包括:硬件处理器系统;和被配置为存储机器可读指令的非瞬态计算机可读存储介质,其中所述机器可读指令当被执行时使得硬件处理器系统执行如本文所述的方法。

附图说明

现在将参考附图仅通过示例的方式描述实施例,其中:

图1示意性地描绘了光刻设备的实施例;

图2示意性地描绘了光刻单元或簇的实施例;

图3a是根据一个实施例的用于在测量目标中使用的测量设备的示意图,该测量设备使用提供某些照射模式的第一对照射孔径;

图3b是针对给定照射方向的目标的衍射光谱的示意性细节;

图3c是第二对照射孔径的示意图,其在使用测量设备进行基于衍射的套刻测量中提供进一步的照射模式;

图3d是组合第一对孔径和第二对孔径的第三对照射孔径的示意图示,第三对照射孔径在使用测量设备进行基于衍射的套刻测量中提供了进一步的照射模式;

图4示意性地描绘了多个周期性结构(例如,多个光栅)目标的形式和衬底上的测量斑点的概况;

图5示意性地描绘了在图3的设备中获得的图4的目标的图像;

图6示意性地描绘了示例性量测设备和量测技术;

图7示意性地描绘了示例性量测设备;

图8图示了量测设备的照射斑点与量测目标之间的关系;

图9示意性地描绘了基于测量数据导出一个或多个感兴趣变量的过程;

图10a示意性地描绘了示例单位单元、相关联的光瞳表示和相关联的导出光瞳表示;

图10b示意性地描绘了示例单位单元、相关联的光瞳表示和相关联的导出光瞳表示;

图10c示意性地描绘了包括单位单元的一个或多个物理实例的示例目标;

图11描绘了获得用于从测量的辐射确定图案化工艺参数的加权的高级流程;

图12描绘了从测量的辐射确定图案化工艺参数的高级流程;

图13描绘了数据驱动技术的实施例的高级流程;

图14描绘了结合物理几何模型的数据驱动技术的实施例的高级流程;

图15描绘了结合物理几何模型的数据驱动技术的实施例的高级流程;

图16描绘了结合物理几何模型的数据驱动技术的实施例的高级流程;

图17描绘了结合物理几何模型的数据驱动技术的实施例的高级流程;

图18示意性地描绘了目标的多重套刻单位单元的实施例;

图19示意性地描绘了目标的多重套刻单位单元的实施例;

图20描绘了对应于两个不同套刻的两个向量的示例图;

图21a和图21b示意性地描绘了非产品目标设计的示例;

图22a、图22b、图22c和图22d示意性地描绘了非产品目标设计的示例;

图23a和图23b示意性地描绘了非产品目标设计的示例;

图24a和图24b示意性地描绘了非产品目标设计的示例;

图25a和图25b示意性地描绘了非产品目标设计的示例;

图26a、图26b和图26c示意性地描绘了非产品目标设计的示例;

图27a和图27b示意性地描绘了非产品目标设计的示例;

图28a、图28b和图28c示意性地描绘了非产品目标设计的示例;

图29a示意性地描绘了器件图案特征的示例;

图29b、图29c、图29d和图29e示意性地描绘了器件图案化工艺的步骤的示例;

图29f示意性地描绘了与图29b和图29d的步骤相对应的非产品目标设计的结构的示例;

图29g示意性地描绘了从图29f的结构创建的非产品目标设计的示例;

图30a示意性地描绘了器件图案特征的示例;

图30b示意性地描绘了非产品目标设计的结构的示例;

图30c示意性地描绘了从图30b的结构创建的非产品目标设计的示例;

图31对应于设计非产品目标设计的方法的实施例;和

图32示意性地描绘了可以实现本公开的实施例的计算机系统。

具体实施方式

在详细描述实施例之前,呈现可以实现实施例的示例环境是有益的。

图1示意性地描绘了光刻设备la。该设备包括:

-照射系统(照射器)il,其被配置为调节辐射光束b(例如,uv辐射或duv辐射);

-支撑结构(例如掩模台)mt,其被配置成支撑图案化装置(例如掩模)ma并连接到第一定位器pm,第一定位器pm被配置成根据某些参数精确地定位图案化装置;

-衬底台(例如晶片台)wt,其被配置成保持衬底(例如涂覆有抗蚀剂的晶片)w并连接到第二定位器pw,第二定位器pw被配置为根据某些参数精确地定位衬底;和

-投影系统(例如,折射投影透镜系统)ps,其被配置为通过图案化装置ma将赋予辐射光束b的图案投影到衬底w的目标部分c(例如包括一个或多个裸片)上,投影系统被支撑在参考框架(rf)上。

照射系统可以包括各种类型的光学组件,诸如折射、反射、磁、电磁、静电或其他类型的光学组件、或其任何组合,用于对辐射进行引导、成形或控制。

支撑结构以取决于图案化装置的取向、光刻设备的设计和诸如例如图案化装置是否被保持在真空环境中之类的其他条件的方式支撑图案化装置。支撑结构可以使用机械、真空、静电或其他夹持技术来保持图案化装置。例如,支撑结构可以是框架或台,其可以根据需要是固定的或移动的。支撑结构可以确保图案化装置例如相对于投影系统而处于期望的位置处。本文中对术语“掩模版”或“掩模”的任何使用可以被认为与更通用的术语“图案化装置”同义。

本文所使用的术语“图案化装置”应该被广义地解释为是指可以被用来在衬底的目标部分中赋予图案的任何装置。在一个实施例中,图案化装置是可以被用来在其横截面中向辐射光束赋予图案以便在衬底的目标部分中创建图案的任何装置。应当注意,赋予辐射光束的图案可能不完全对应于衬底的目标部分中的期望图案,例如如果图案包括相移特征或所谓的辅助特征。通常,赋予辐射光束的图案将对应于在诸如集成电路之类的目标部分中创建的器件中的特定功能层。

图案化装置可以是透射的或反射的。图案化装置的示例包括掩模、可编程反射镜阵列和可编程lcd面板。掩模在光刻中是众所周知的,并且包括诸如二进制、交替相移和衰减相移之类的掩模类型以及各种混合掩模类型。可编程反射镜阵列的一个示例采用小反射镜的矩阵布置,每个小反射镜可以被单独倾斜,以便在不同方向上反射进来的辐射光束。倾斜的反射镜在由反射镜矩阵反射的辐射光束中赋予图案。

本文所使用的术语“投影系统”应该被广义地解释为涵盖任何类型的投影系统,包括折射、反射、反射折射、磁、电磁和静电光学系统或其任何组合,适于所使用的曝光辐射或者适于诸如使用浸没液体或使用真空之类的其他因素。本文中对术语“投影透镜”的任何使用可以被认为与更通用的术语“投影系统”同义。

投影系统ps具有光学转移功能,其可以是不均匀的,这会影响在衬底w上成像的图案。对于非偏振辐射,这种影响可以通过两个标量图来很好地描述,标量图描述了根据在投影系统ps的光瞳平面中的位置离开投影系统ps的辐射的透射(变迹)和相对相位(像差)。这些标量图可以被称为透射图和相对相位图,这些标量图可以被表达为完整的基函数集合的线性组合。一个特别方便的集合是泽尼克(zernike)多项式,它形成在单位圆上定义的一组正交多项式。每个标量图的确定可以涉及确定在这种扩展中的系数。由于泽尼克多项式在单位圆上是正交的,因此可以通过依次计算所测量的标量图与每个泽尼克多项式的内积并且将将内积除以该泽尼克多项式的范数的平方来确定泽尼克系数。

透射图和相对相位图是与场和系统相关的。也就是说,通常每个投影系统ps对于每个场点(即,对于其图像平面中的每个空间位置)将具有不同的泽尼克扩展。投影系统ps在其光瞳平面中的相对相位可以通过以下操作来确定:例如从投影系统ps的对象平面(即,图案化装置ma的平面)中的点状源(即,图案化器件ma的平面)通过投影系统ps投射辐射,以及使用剪切干涉仪测量波前(即具有相同相位的点的轨迹)。剪切干涉仪是公共路径干涉仪,并且因此有利地,不需要辅助参考光束来测量波前。剪切干涉仪可以包括:在投影系统的图像平面(即,衬底台wt)中的衍射光栅例如二维栅格,以及被布置用于检测与投影系统ps的光瞳平面共轭的平面中的干涉图案的检测器。干涉图案在剪切方向上相对于光瞳平面中的坐标而与辐射的相位的导数相关。检测器可以包括诸如例如电荷耦合器件(ccd)之类的感测元件的阵列。

光刻设备的投影系统ps可能不产生可见的条纹(fring),并且因此可以使用相位步进技术诸如例如移动衍射光栅来增强波前的确定的精度。可以在衍射光栅的平面中并且在与测量的扫描方向垂直的方向上执行步进。步进范围可以是一个光栅周期,并且可以使用至少三个(均匀分布的)相位步长。因此,例如,可以在y方向上执行三次扫描测量,每次扫描测量针对x方向上的不同位置而被执行。衍射光栅的这种步进有效地将相位变化转换成强度变化,从而允许相位信息被确定。光栅可以在垂直于衍射光栅的方向(z方向)上被步进,从而校准检测器。

投影系统ps在其光瞳平面中的透射(变迹)可以通过以下操作来确定:例如从投影系统ps的对象平面(即图案化装置ma的平面)中的点状源通过投影系统ps投射辐射,并且使用检测器来测量与投影系统ps的光瞳平面共轭的平面中的辐射的强度。可以使用与用于测量波前以确定像差的检测器相同的检测器。

投影系统ps可以包括多个光学(例如,透镜)元件,并且还可以包括调节机构am,调节机构am被配置为调节一个或多个光学元件以便校正像差(在整个场中的光瞳平面上的相位变化)。为实现此目的,调节机构可操作用于以一种或多种不同的方式操纵投影系统ps内的一个或多个光学(例如,透镜)元件。投影系统可以具有坐标系,其中其光轴在z方向上延伸。调节机构可操作用于进行以下项的任何组合:移位一个或多个光学元件;倾斜一个或多个光学元件;和/或使一个或多个光学元件变形。光学元件的移位可以是在任何方向(x、y、z或其组合)。尽管围绕z轴的旋转可以用于非旋转对称的非球面光学元件,但是通过围绕x和/或y方向上的轴旋转,光学元件的倾斜通常在垂直于光轴的平面之外。光学元件的变形可以包括低频形状(例如像散)和/或高频形状(例如自由形式的非球面)。例如通过使用一个或多个致动器以在光学元件的一个或多个侧面上施用力和/或通过使用一个或多个加热元件来加热光学元件的一个或多个选定区域,可以执行光学元件的变形。通常,可能无法调节投影系统ps以校正变迹(在光瞳平面上的透射变化)。当设计用于光刻设备la的图案化装置(例如,掩模)ma时,可以使用投影系统ps的透射图。使用计算光刻技术,图案化装置ma可以被设计成至少部分地校正变迹。

如这里所描绘的,该设备是透射型的(例如采用透射掩模)。可替代地,该设备可以是反射型的(例如采用如上所提及类型的可编程反射镜阵列,或采用反射掩模)。

光刻设备可以是如下类型:具有两个(双级)或更多个台(例如,两个或更多个衬底台wta、wtb、两个或更多个图案化装置台、衬底台wta和没有专用于例如促进测量和/或清洁等的衬底而在投影系统下方的台wtb)。在这种“多级”机器中,可以并行使用附加台,或者可以在一个或多个台上执行准备步骤,同时使用一个或多个其他台进行曝光。例如,可以使用对准传感器as进行对准测量和/或使用水平传感器ls进行水平(高度、倾斜等)测量。

光刻设备也可以是这样一种类型,其中至少一部分衬底可以被具有相对高折射率的液体(例如,水)覆盖,从而填充投影系统和衬底之间的空间。也可以将浸没液体应用于光刻设备中的其他空间,例如,在图案化装置和投影系统之间。浸没技术在本领域中是众所周知的,用于增加投影系统的数值孔径。本文所使用的术语“浸没”并不意味着诸如衬底的结构必须被沉没在液体中,而是仅意味着在曝光期间液体位于投影系统和衬底之间。

参考图1,照射器il接收来自辐射源so的辐射光束。例如当源是准分子激光器时,源和光刻设备可以是分开的实体。在这种情况下,源不被认为形成光刻设备的一部分,并且辐射光束借助于光束递送系统bd而从源so被传递到照射器il,光束递送系统bd包括例如合适的导向镜和/或光束扩展器。在其他情况下,例如当源是汞灯时,源可以是光刻设备的集成部分。如果需要,源so和照射器il与光束递送系统bd一起可以被称为辐射系统。

照射器il可以包括调节器ad,调节器ad被配置为调节辐射光束的角度强度分布。通常,可以调节在照射器的光瞳平面中的强度分布的至少外部和/或内部径向范围(通常分别被称为σ-外部和σ-内部)。另外,照射器il可以包括各种其他组件,诸如积分器in和聚光器co。照射器可以被用来调节辐射光束,以在其横截面中具有期望的均匀性和强度分布。

将辐射光束b入射在被保持在支撑结构(例如,掩模台)mt上的图案化装置(例如,掩模)ma上,并且通过图案化装置被图案化。在穿越图案化装置ma之后,辐射光束b穿过投影系统ps,投影系统ps将光束聚焦到衬底w的目标部分c上。借助于第二定位器pw和位置传感器if(例如,干涉测量器件、线性编码器、2-d编码器或电容传感器),衬底台wt可以精确地移动,例如以便将不同的目标部分c定位在辐射光束b的路径中。类似地,第一定位器pm和另一位置传感器(图1中未明确描绘出)可以被用来相对于辐射光束b的路径而精确定位图案化装置ma,例如在从掩模库中进行机械检索后或在扫描期间。通常,支撑结构mt的移动可以借助于长行程模块(粗略定位)和短行程模块(精确定位)来实现,长行程模块和短行程模块形成第一定位器pm的一部分。类似地,可以使用长行程模块和短行程模块来实现衬底台wt的移动,所述长行程模块和短行程模块形成第二定位器pw的一部分。在步进器的情况下(与扫描器相反),支撑结构mt可以仅连接到短行程致动器,或者可以是固定的。可以使用图案化装置对准标记m1、m2和衬底对准标记p1、p2来对准图案化装置ma和衬底w。尽管所图示的衬底对准标记占据专用目标部分,但是它们可以位于目标部分之间的空间中(这些被称为划片槽对准标记)。类似地,在图案化装置ma上提供多于一个裸片的情形中,图案化装置对准标记可以位于裸片之间。

所描绘的设备可以在以下模式中的至少一种中使用:

1.在步进模式中,支撑结构mt和衬底台wt保持基本静止,同时赋予辐射光束的整个图案一次(即,单次静态曝光)被投射到目标部分c上。然后衬底台wt在x和/或y方向上被偏移,从而可以曝光不同的目标部分c。在步进模式中,曝光场的最大大小限制了在单次静态曝光中成像的目标部分c的大小。

2.在扫描模式中,同步扫描支撑结构mt和衬底台wt,同时将赋予辐射光束的图案投影到目标部分c上(即单次动态曝光)。衬底台wt相对于支撑结构mt的速度和方向可以通过投影系统ps的(缩小)放大率和图像反转特性来确定。在扫描模式中,曝光场的最大大小限制单次动态曝光中目标部分(在非扫描方向上)的宽度,而扫描运动的长度决定了目标部分(在扫描方向上)的高度。

3.在另一种模式中,支撑结构mt保持基本静止,以保持可编程图案化装置,并且移动或扫描衬底台wt,同时将赋予辐射光束的图案投影到目标部分c上。在该模式中,通常采用脉冲的辐射源,并且在扫描期间在衬底台wt的每次移动之后或在连续的辐射脉冲之间根据需要更新可编程图案化装置。这种操作模式可以容易地应用于利用可编程图案化装置的无掩模光刻,例如上述类型的可编程反射镜阵列。

也可以采用上述使用模式的组合和/或变型或完全不同的使用模式。

如图2中所示,光刻设备la可以形成光刻单元lc的一部分,有时也被称为光刻单元或簇,光刻单元还包括用于在衬底上执行曝光前处理和曝光后处理的设备。该设备传统上包括用以沉积一个或多个抗蚀剂层的一个或多个旋涂器sc、用以显影曝光的抗蚀剂的一个或多个显影剂de、一个或多个冷却板ch和/或一个或多个烘烤板bk。衬底处理器或机器人ro从输入/输出端口i/o1、i/o2拾取一个或多个衬底,在不同的处理设备之间移动它们并将它们递送到光刻设备的装载台lb。这些设备通常被统称为轨道,其由轨道控制单元tcu控制,轨道控制单元tcu本身由监督控制系统scs控制,监督控制系统scs还经由光刻控制单元lacu来控制光刻设备。因此,可以操作不同的设备以最大化吞吐量和处理效率。

为了使由光刻设备曝光的衬底正确且一致地曝光,期望检查曝光的衬底以测量或确定一个或多个性质,诸如套刻(其可以例如在套刻层中的结构之间或者在同一层中的通过例如双图案化工艺而已被分别提供给该层的结构之间)、线厚度、临界尺寸(cd)、聚焦偏移、材料性质等。因此,其中定位有光刻单元lc的制造设施通常还包括量测系统met,量测系统met接收已在光刻单元中处理的一些或全部衬底w。量测系统met可以是光刻单元lc的一部分,例如它可以是光刻设备la的一部分。

可以将量测结果直接或间接地提供给监督控制系统scs。如果检测到错误,则可以对后续衬底的曝光进行调节(特别是如果可以快速且足够快地进行检查以使批次中的一个或多个其他衬底仍然被曝光)和/或对已曝光的衬底的随后曝光进行调节。而且,已曝光的衬底可以被剥离和再加工以提高产生,或者被丢弃,从而避免在已知有缺陷的衬底上执行进一步的处理。在衬底的仅仅一些目标部分有缺陷的情况下,可以仅对那些良好的目标部分进行进一步的曝光。

在量测系统met中,使用量测设备来确定衬底的一个或多个性质,并且特别是确定不同衬底的一个或多个性质如何变化或者同一衬底的不同层如何在层与层之间变化。量测设备可以被集成到光刻设备la或光刻单元lc中,或者可以是独立设备。为了能够快速测量,期望量测设备在曝光之后立即测量已曝光的抗蚀剂层中的一个或多个性质。然而,抗蚀剂中的潜像具有低对比度——在已经曝光于辐射的抗蚀剂部分和没有曝光于辐射的部分之间仅存在非常小的折射率差异——并且并非所有量测设备都具有足够的灵敏度来对潜像进行有用的测量。因此,可以在曝光后烘烤步骤(peb)(该步骤通常是在已曝光的衬底上进行的第一步骤并且增加了抗蚀剂的曝光部分和未曝光部分之间的对比度)之后进行测量。在这个阶段,抗蚀剂中的图像可以被称为是半透明的。还可以对显影的抗蚀剂图像进行测量——在此时已去除抗蚀剂的曝光部分或未曝光部分——或者在诸如蚀刻之类的图案转移步骤之后。后一种可能性限制了针对有缺陷衬底返工的可能性,但仍可提供有用的信息。

为了实现量测,可以在衬底上提供一个或多个目标。在一个实施例中,目标是专门设计的并且可以包括周期性结构。在一个实施例中,目标是器件图案的一部分,例如器件图案的周期性结构。在一个实施例中,器件图案是存储器器件的周期性结构(例如,双极晶体管(bpt)、位线接触(blc)等结构)。

在一个实施例中,衬底上的目标可以包括一个或多个1-d周期性结构(例如,光栅),该一个或多个1-d周期性结构被印刷使得在显影之后,周期性结构特征由实心抗蚀剂线形成。在一个实施例中,目标可以包括一个或多个2-d周期性结构(例如,光栅),一个或多个2-d周期性结构被印刷使得在显影之后,一个或多个周期性结构由抗蚀剂中的固体抗蚀剂柱或通孔形成。可替代地,可以将条、柱或通孔蚀刻到衬底中(例如,蚀刻到衬底上的一个或多个层中)。

在一个实施例中,图案化工艺中感兴趣的参数之一是套刻。可以使用暗场散射测量法测量套刻,其中第0阶衍射(对应于镜面反射)被阻止,并且只处理更高的阶次。暗场量测的示例可以在pct专利申请公开no.wo2009/078708和wo2009/106279中找到,这两个申请的全部内容通过引用并入本文。在美国专利申请公开us2011-0027704、us2011-0043791和us2012-0242970中描述了该技术的进一步发展,这些申请的全部内容通过引用并入本文。使用衍射阶次的暗场检测的基于衍射的套刻使得能够在较小的目标上进行套刻测量。这些目标可以小于照射斑点并且可以被衬底上的器件产品结构包围。在一个实施例中,可以在一次辐射捕获中测量多个目标。

在图3a中示意性地示出了适用于在用于测量例如套刻的实施例中使用的量测设备。在图3b中更详细地图示出了目标t(包括诸如光栅的周期性结构)和衍射光线。量测设备可以是独立设备或者可以被并入光刻设备la(例如,在测量站处)中或者被并入光刻单元lc中。在整个设备中具有若干分支的光轴由虚线o表示。在该设备中,通过包括透镜12、14和物镜16的光学系统经由棱镜15将由输出11(例如,诸如激光器或氙灯之类的源或连接到源的开口)发出的辐射引导到衬底w上。这些透镜以4f布置的双重序列进行排列。可以使用不同的透镜布置,只要它仍然将衬底图像提供到检测器上。

在一个实施例中,透镜布置允许访问中间光瞳平面以进行空间频率滤波。因此,可以通过在呈现衬底平面的空间光谱的平面(这里被称为(共轭)光瞳平面)中定义空间强度分布来选择辐射入射在衬底上的角度范围。特别地,这可以通过例如在作为物镜光瞳平面的后向投影图像的平面中在透镜12和14之间插入适当形式的孔板13来完成。在所图示的示例中,孔板13具有不同的形式,标记为13n和13s,从而允许选择不同的照射模式。本示例中的照射系统形成离轴照射模式。在第一照射模式中,孔板13n从仅为了描述的目的而被标明为“北”的方向提供离轴照射。在第二照射模式中,孔板13s被用于提供类似的照射,但是是从被标记为“南”的相反方向。通过使用不同的孔径可以实现其他照射模式。光瞳平面的其余部分理想地是暗的,因为在期望的照射模式之外的任何不必要的辐射都可能干扰期望的测量信号。

如图3b中所示,在衬底w基本垂直于物镜16的光轴o的情况下放置目标t。从离开轴o的一个角度撞击在目标t上的照射光线i引起一个零阶光线(实线0)和两个一阶光线(点划片槽+1和双点划片槽-1)。对于过度填充的小目标t,这些光线只是覆盖衬底中的包括量测目标t和其他特征的区域的许多平行光线之一。由于板13中的孔径具有(允许有用的辐射量所必需的)有限的宽度,入射光线i实际上将占据一定角度范围,并且衍射光线0和+1/-1将在某种程度上扩散。根据小目标的点扩散函数,每一阶+1和-1将在一定角度范围内进一步扩散,而不是如图所示的单个理想光线。注意,可以设计或调节周期性结构节距和照射角度,使得进入物镜的一阶光线与中心光轴紧密对准。图3a和图3b中所图示的光线被示为稍微偏离轴线,纯粹是为了使它们在图中更容易被区分。由衬底w上的目标衍射的至少0和+1阶由物镜16收集并且通过棱镜15被引导回去。

回到图3a,通过指定被标记为北(n)和南(s)的径向相对的孔径,图示出了第一照射模式和第二照射模式二者。当入射光线i来自光轴的北侧时,即,当使用孔板13n应用第一照射模式时,被标记为+1(n)的+1衍射光线进入物镜16。相反,当使用孔板13s应用第二照射模式时,-1衍射光线(被标记为-1(s))是进入透镜16的光线。因此,在一个实施例中,通过在特定条件下对目标测量两次来获得测量结果——例如在旋转目标或改变照射模式或改变成像模式以分别获得第-1和第+1衍射阶次强度之后。比较针对给定目标的这些强度提供了目标中的不对称性的测量,并且目标中的不对称性可以被用作光刻工艺的参数(例如套刻)的指示符。在上述情形中,照射模式被改变。

分束器17将衍射光束分成两个测量分支。在第一测量分支中,光学系统18使用第零阶和第一阶衍射光束来在第一传感器19(例如ccd或cmos传感器)上形成目标的衍射光谱(光瞳平面图像)。每个衍射阶次击中传感器上的不同点,因此图像处理可以比较和对比各个阶次。由传感器19捕获的光瞳平面图像可以被用于聚焦量测设备和/或归一化强度测量。光瞳平面图像也可以用于诸如重建之类的其他测量目的,如下文进一步描述的。

在第二测量分支中,光学系统20、22在传感器23(例如ccd或cmos传感器)上形成衬底w上的目标的图像。在第二测量分支中,在与物镜16的光瞳平面共轭的平面中提供孔径光阑21。孔径光阑21用于阻挡零阶衍射光束,使得形成在传感器23上的目标的图像由-1或+1一阶光束形成。关于由传感器19和23测量的图像的数据被输出到处理器和控制器pu,处理器和控制器pu的功能将取决于正被执行的测量的特定类型。注意,术语“图像”在广义上使用。如果仅存在-1和+1阶中的一个,则将不如此形成周期性结构特征(例如,光栅线)的图像。

图3中所示的孔板13和光阑21的特定形式仅仅是示例。在另一实施例中,使用目标的轴上照射,并且使用具有离轴孔径的孔径光阑以基本上仅将一个第一阶衍射辐射传递到传感器。在其他实施例中,代替第一阶光束或者除了第一阶光束之外,可以在测量中使用第二、第三和更高阶光束(图3中未示出)。

为了使照射适合于这些不同类型的测量,孔板13可以包括围绕盘形成的多个孔径图案,该盘旋转以将所需图案置于适当位置。注意,孔板13n或13s被用来测量沿一个方向(取决于设置而为x或y)定向的目标的周期性结构。对于正交周期性结构的测量,可以实现通过90°和270°对目标的旋转。图3c和图3d中示出了不同的孔板。图3c图示出了另外两种类型的离轴照射模式。在图3c的第一照射模式中,孔板13e从仅出于描述的目的而相对于先前描述的“北”而被标明为“东”的方向提供离轴照射。在图3c的第二照射模式中,孔板13w用于提供类似的照射,但是是从被标记为“西”的相反方向。图3d图示出了另外两种类型的离轴照射模式。在图3d的第一照射模式中,孔板13nw如前所述从被标明为“北”和“西”的方向提供离轴照射。在第二照射模式中,孔板13se用于提供类似的照射,但是如前所述是从被标记为“南”和“东”的相反方向。在例如上面提到的在先公开的专利申请公开中描述了设备的这些使用以及设备的许多其他变型和应用。

图4描绘了在衬底上形成的示例复合量测目标t。复合目标包括紧密地定位在一起的四个周期性结构(在这种情况下,光栅)32、33、34、35。在一个实施例中,可以使周期性结构布局小于测量斑点(即,周期性结构布局被过度填充)。因此,在一个实施例中,将周期性结构足够紧密地定位在一起,使得它们都在由量测设备的照射光束形成的测量斑点31内。在那种情况下,四个周期性结构因此同时被照射并被同时成像在传感器19和23上。在专用于套刻测量的示例中,周期性结构32、33、34、35本身是通过套刻周期性结构所形成的复合周期性结构(例如,复合光栅),即,周期性结构被图案化在衬底w上形成的器件的不同层中,并且使得一层中的至少一个周期性结构套刻不同层中的至少一个周期性结构。这样的目标可以具有在20μm×20μm内或在16μm×16μm内的外部尺寸。此外,所有周期性结构被用来测量特定对的层之间的套刻。为了便于目标能够测量多于一对的层,周期性结构32、33、34、35可以具有不同偏置的套刻偏移,以便于测量不同层之间的套刻,其中在不同层中形成复合周期性结构的不同部分。因此,针对衬底上的目标的所有周期性结构将被用来测量一对层,并且针对衬底上的另一个相同目标的所有周期性结构将被用来测量另一对层,其中不同的偏置便于区分层对。

回到图4,周期性结构32、33、34、35的取向也可以不同,如所示,以便在x和y方向上衍射进来的辐射。在一个示例中,周期性结构32和34是x方向周期性结构,其偏置分别为+d、-d。周期性结构33和35可以是y方向周期性结构,分别具有偏移+d和-d。虽然图示出了四个周期性结构,但是另一实施例可以包括更大的矩阵以获得期望的准确度。例如,9个复合周期性结构的3×3阵列可以具有偏置-4d、-3d、-2d、-d、0、+d、+2d、+3d、+4d。可以在由传感器23捕获的图像中识别这些周期性结构的分开的图像。

图5示出了使用图3d的孔径板13nw或13se、在图3的设备中使用图4的目标、可以在传感器23上形成并由传感器23检测的图像的示例。虽然传感器19不能解析不同的个体周期性结构32至35,但是传感器23可以这样做。暗矩形表示传感器上的图像的场,在该场内衬底上的照射斑点31被成像到相应的圆形区域41中。在此之内,矩形区域42-45表示周期性结构32至35的图像。目标可以被定位在器件产品特征之中,而不是在划片槽中,或者除了在划片槽中之外还被定位在器件产品特征之中。如果周期性结构位于器件产品区域中,则在该图像场的外围也可以看到器件特征。处理器和控制器pu使用图案识别来处理这些图像,以识别周期性结构32至35的分开的图像42至45。以这种方式,图像不必非常精确地对准在传感器框架内的特定位置处,这极大地提高了测量设备作为整体的吞吐量。

一旦识别出周期性结构的分开的图像,就可以测量那些个体图像的强度,例如通过对所识别的区域内的所选像素强度值求平均或求和。可以将图像的强度和/或其他性质彼此进行比较。这些结果可以被组合以测量光刻工艺的不同参数。套刻性能是这种参数的一个示例。

在一个实施例中,图案化工艺中感兴趣的参数之一是特征宽度(例如,cd)。图6描绘了可以实现特征宽度确定的高度示意性的示例量测设备(例如,散射仪)。它包括将辐射投射到衬底w上的宽带(白光)辐射投影仪2。重定向的辐射被传递到光谱仪检测器4,光谱仪检测器4测量镜面反射辐射的光谱10(作为波长的函数的强度),例如,如在左下方的图中所示出的。根据该数据,例如通过严格的耦合波分析和非线性回归或通过与图6右下方所示的模拟光谱库进行比较,可以由处理器pu重建引起检测到的频谱的结构或轮廓。通常,对于重建,结构的一般形式是已知的,并且根据制造结构的工艺的知识,假设一些变量,仅留下结构的少数变量从测量数据中确定。这种量测设备可以被配置为垂直入射量测设备或倾斜入射量测设备。此外,除了通过重建测量参数之外,角度分辨散射测量法还可用于测量产品中的特征和/或抗蚀剂图案的不对称性。不对称测量的特定应用是用于套刻的测量,其中目标包括叠在另一组周期性特征上的一组周期性特征。这种方式的不对称测量的概念在例如美国专利申请公开us2006-066855中被描述,该申请的全部内容并入本文。

图7图示出了适用于在本文所公开的本发明实施例中使用的量测设备100的示例。在美国专利申请号us2006-033921和us2010-201963中更详细地解释了这种类型的量测设备的操作原理,这些申请的全部内容通过引用并入本文。在整个设备中具有若干分支的光轴由虚线o表示。在该设备中,由光源110(例如,氙灯)发射的辐射经由光学系统而被引导到衬底w上,所述光学系统包括:透镜系统120、孔板130、透镜系统140、部分反射表面150和物镜160。在一个实施例中,这些透镜系统120、140、160以4f布置的双重序列进行排列。在一个实施例中,使用透镜系统120对由辐射源110发射的辐射进行准直。如果需要,可以使用不同的透镜布置。可以通过在呈现衬底平面的空间光谱的平面中定义空间强度分布来选择辐射入射在衬底上的角度范围。特别地,这可以在作为物镜光瞳平面的后向投影图像的平面中通过在透镜120和140之间插入适当形式的孔板130来完成。通过使用不同的孔径,不同的强度分布(例如,环形、偶极等)是可能的。径向和周向方向上的照射的角度分布以及诸如辐射的波长、偏振和/或相干性之类的性质全都可以进行调节以获得期望的结果。例如,可以在源110和部分反射表面150之间提供一个或多个干涉滤波器130(参考图9),以选择在例如400-900nm或甚至更低诸如200-300nm的范围内的感兴趣的波长。干涉滤波器可以是可调谐的,而不是包括一组不同的滤波器。可以使用光栅代替干涉滤波器。在一个实施例中,可以在源110和部分反射表面150之间提供一个或多个偏振器170(参考图9),以选择感兴趣的偏振。偏振器可以是可调谐的,而不是包括一组不同的偏振器。

如图7中所示,目标t被放置成使得衬底w垂直于物镜160的光轴o。因此,来自源110的辐射被部分反射表面150反射并经由物镜160被聚焦成衬底w上的目标t上的照射斑点s(见图8)。在一个实施例中,物镜160具有高数值孔径(na),期望地为至少0.9或至少0.95。浸没式量测设备(使用诸如水之类的相对高折射率的流体)甚至可以具有超过1的数值孔径。

从离开轴o的角度而聚焦到照射斑点的照射光线170、172引起衍射光线174、176。应该记住,这些光线只是覆盖包括目标t的衬底区域的许多平行光线之一。照射斑点内的每个元件都在量测设备的视场内。由于板130中的孔径具有(允许有用的辐射量所必需的)有限的宽度,入射光线170、172实际上将占据一定角度范围,并且衍射光线174、176将在某种程度上扩散。根据小目标的点扩散函数,每个衍射阶次将在一定角度范围内进一步扩展,而不是如图所示的单个理想光线。

由衬底w上的目标衍射的至少第零阶被物镜160收集并且通过部分反射表面150被引导回去。光学元件180将至少部分衍射光束提供给光学系统182,光学系统182使用第零阶和/或第一阶衍射在传感器190(例如ccd或cmos传感器)上形成目标t的衍射光谱(光瞳平面图像)。在一个实施例中,提供孔径186以滤除某些衍射阶次,从而向传感器190提供特定的衍射阶次。在一个实施例中,孔径186基本上或主要仅允许零阶辐射到达传感器190。在一个实施例中,传感器190可以是二维检测器,从而可以测量衬底目标t的二维角度散射光谱。传感器190可以是例如ccd或cmos传感器的阵列,并且可以使用例如每帧40毫秒的积分时间。传感器190可以被用来测量单个波长(或窄波长范围)处的重定向辐射的强度,该强度分别在多个波长处或者在波长范围内被积分。此外,传感器可以被用来分别测量具有横向磁偏振和/或横向电偏振的辐射强度和/或横向磁偏振和横向电偏振辐射之间的相位差。

可选地,光学元件180将至少部分衍射光束提供给测量分支200,以在传感器230(例如ccd或cmos传感器)上形成衬底w上的目标的图像。测量分支200可用于各种辅助功能,诸如聚焦量测设备(即,使衬底w能够与物镜160对准),和/或用于引言中所提及类型的暗场成像。

为了提供针对不同大小和形状的光栅的定制视场,在从源110到物镜160的路径上,在透镜系统140内提供可调节的场光阑300。场光阑300包含孔径302并且位于与目标t的平面共轭的平面中,使得照射斑点成为孔径302的图像。可以根据放大因子来缩放图像,或者孔径和照射斑点可以是1:1的大小关系。为了使照射适用于不同类型的测量,孔板300可以包括围绕盘形成的多个孔径图案,盘旋转以将所需图案置于适当位置。可替代地或另外地,可以提供并替换一组板300,以实现相同的效果。另外地或可替代地,也可以使用诸如可变形反射镜阵列或透射空间光调制器之类的可编程孔径装置。

通常,目标将被对准使得其周期性结构特征平行于y轴或平行于x轴而延伸。关于其衍射行为,具有在平行于y轴的方向上延伸的特征的周期性结构在x方向上具有周期性,而具有在平行于x轴的方向上延伸的特征的周期性结构在y方向上具有周期性。为了测量两个方向上的性能,通常提供两种类型的特征。虽然为了简单起见,将提及线和空间,但周期性结构不需要由线和空间形成。此外,每条线和/或线之间的空间可以是由较小的子结构所形成的结构。此外,周期性结构可以一次形成有二维的周期性,例如在周期性结构包括柱和/或通孔的情况下。

图8图示出了典型目标t的平面图,以及图7的设备中的照射斑点s的范围。为了获得不受周围结构干扰的衍射光谱,在一个实施例中,目标t是大于照射斑点s的宽度(例如,直径)的周期性结构(例如,光栅)。斑点s的宽度可以小于目标的宽度和长度。换句话说,目标未被照射填满,并且衍射信号实质上没有来自目标本身之外的产品特征等的任何信号。这简化了目标的数学重建,因为它可以被视为无限的。

图9示意性地描绘了基于使用量测学获得的测量数据来确定目标图案30'的一个或多个感兴趣变量的值的示例过程。检测器190检测到的辐射提供针对目标30'的测量辐射分布108。

对于给定目标30',可以使用例如数值麦克斯韦尔求解器210从参数化数学模型206计算/模拟辐射分布208。参数化数学模型206示出了构成目标并与目标相关联的各种材料的示例层。参数化数学模型206可以包括用于所考虑的目标的部分的特征和层的一个或多个变量,这些变量可以变化和导出。如图9中所示,一个或多个变量可以包括一个或多个层的厚度t、一个或多个特征的宽度w(例如cd)、一个或多个特征的高度h、一个或多个特征的侧壁角度a和/或特征(这里考虑套刻)之间的相对位置。尽管未示出,但是一个或多个变量可以进一步包括但不限于一个或多个层的折射率(例如实数或复数折射率、折射率张量等)、一个或多个层的消光系数、一个或多个层的吸收、显影期间的抗蚀剂损失、一个或多个特征的基脚(footing)和/或一个或多个特征的线边缘粗糙度。根据图案化工艺和/或其他测量过程的知识,可以将一维周期性结构或二维周期性结构的一个或多个参数的一个或多个值(诸如宽度、长度、形状或三维轮廓特性的值)输入到重建过程。例如,变量的初始值可以是针对被测量目标的诸如cd值、节距等等之类的一个或多个参数的那些预期值。

在一些情况下,可以将目标划分为单位单元的多个实例。为了在这种情况下帮助简化对目标的辐射分布的计算,可以将模型206设计成使用目标的结构的单位单元来计算/模拟,其中单位单元作为跨越整个目标的实例而被重复。因此,模型206可以使用一个单位单元来进行计算并使用适当的边界条件来复制结果以适配整个目标,从而确定目标的辐射分布。

除了在重建时计算辐射分布208之外或作为另外一种选择,可以针对所考虑的目标的部分的多个变量变型而预先计算多个辐射分布208,以创建辐射分布的库供重建时使用。

然后在212处将测量的辐射分布108与(例如,从库中获得的或在该时间附近计算出的)计算的辐射分布208进行比较以确定两者之间的差异。如果存在差异,则可以改变参数化数学模型206的一个或多个变量的值,获得(例如,从库中获得的或计算出的)新计算出的辐射分布208并将其与测量的辐射分布108进行比较。直到在测量的辐射分布108和辐射分布208之间存在足够的匹配。此时,参数化数学模型206的变量值提供了实际目标30'的几何形状的良好或最佳匹配。在一个实施例中,当测量的辐射分布108和计算的辐射分布208之间的差异在容限阈值内时,存在足够的匹配。

在这些量测设备中,可以提供衬底支撑件以在测量操作期间保持衬底w。衬底支撑件的形式可以与图1的衬底台wt相似或相同。在量测设备与光刻设备集成的示例中,它甚至可以是同一衬底台。可以提供粗略定位器和精细定位器以相对于测量光学系统精确地定位衬底。提供各种传感器和致动器,例如以获取感兴趣的目标的位置,并将其置于物镜下方的位置。通常,将对处于跨衬底w的不同位置处的目标进行许多测量。衬底支撑件可以在x方向和y方向上移动以获取不同的目标,并且可以在z方向上移动以获得目标相对于光学系统的焦点的期望位置。当例如在实践中光学系统可以保持基本固定(通常在x方向和y方向上,但也可能在z方向上)并且仅衬底移动时,方便的是,将操作视为并描述为好像将物镜置于相对于衬底的不同位置。如果衬底和光学系统的相对位置是正确的,那么原则上在现实世界中它们哪一个在移动、或者两者都在移动、或者光学系统的部件的组合在移动(例如,在z方向和/或倾斜方向上)而光学系统的其余部分是静止的并且衬底在移动(例如,在x方向和y方向上,但也可选地在z方向和/或倾斜方向上),这都是无关紧要的。

在一个实施例中,目标的测量精度和/或灵敏度可以相对于提供到目标上的辐射光束的一个或多个属性而变化,例如,辐射光束的波长、辐射光束的偏振、辐射光束的强度分布(即,角度或空间强度分布)等。因此,可以选择特定的测量策略,该测量策略理想地获得例如目标的良好测量精度和/或灵敏度。

为了监视包括至少一个图案转移步骤(例如,光学光刻步骤)的图案化工艺(例如,器件制造工艺),检查图案化衬底并测量/确定图案化衬底的一个或多个参数。一个或多个参数可以包括例如在图案化衬底中或图案化衬底上形成的连续层之间的套刻、例如在图案化衬底中或图案化衬底上形成的特征的临界尺寸(cd)(例如,临界线宽)、光学光刻步骤的聚焦或聚焦误差、光学光刻步骤的剂量或剂量误差、光学光刻步骤的光学像差、放置误差(例如,边缘放置误差)等。可以在产品衬底本身的目标上和/或在衬底上提供的专用量测目标上执行该测量。可以在抗蚀剂显影之后但在蚀刻之前进行测量,或者可以在蚀刻之后进行测量。

在一个实施例中,从测量过程获得的参数是从直接从测量过程确定的参数中导出的参数。作为示例,从测量参数中获得的导出参数是用于图案化工艺的边缘放置误差。边缘放置误差提供了由图案化工艺创建的结构的边缘的位置的变化。在一个实施例中,边缘放置误差是从套刻值导出的。在一个实施例中,边缘放置误差是从套刻值和cd值的组合导出的。在一个实施例中,边缘放置是从套刻值、cd值和对应于局部变化的值(例如,个体结构的边缘粗糙度、形状不对称性等)的组合导出的。在一个实施例中,边缘放置误差包括组合的套刻和cd误差的极值(例如,3倍标准偏差,即3σ)。在一个实施例中,在涉及创建结构以及涉及通过蚀刻由图案化工艺所提供的与结构相关的图案来移除结构的一部分以“切割”结构的多图案化工艺中,边缘放置误差具有以下形式(或包括以下项中的一项或多项):其中σ是标准偏差,σoverlay对应于套刻的标准偏差,对应于套刻的标准偏差,οcdustructures对应于在图案化工艺中创建的结构的临界尺寸均匀性(cdu)的标准偏差,σcducuts对应于在图案化工艺中创建的切口(如果有的话)的临界尺寸均匀性(cdu)的标准偏差,σope,pba对应于光学邻近效应(ope)的标准偏差和/或作为节距cd与参考cd之差的临近偏置平均值(pba),以及σler,lpe对应于局部放置误差(lpe)和/或线边缘粗糙度(ler)的标准偏差。虽然上面的公式与标准偏差有关,但是它可以用不同的可比较统计方式诸如方差来表达。

存在各种用于对在图案化工艺中形成的结构进行测量的技术,包括扫描电子显微镜的使用、基于图像的测量工具和/或各种专用工具。如上所讨论的,快速且非侵入形式的专用量测工具是这样一种形式的专用量测工具:其中辐射光束被引导到衬底表面上的目标上,并且测量散射(衍射/反射)光束的性质。通过评估由衬底散射的辐射的一个或多个性质,可以确定衬底的一个或多个性质。这可以被称为基于衍射的量测。这种基于衍射的量测的一个这样的应用是目标内的特征不对称性的测量。例如,这可以用作套刻的度量,但是其他应用也是已知的。例如,可以通过比较衍射光谱的相对部分(例如,比较周期性光栅的衍射光谱中的第-1阶和第+1阶)来测量不对称性。这可以如上所述并且如例如美国专利申请公开us2006-066855中所述来进行,该申请通过引用整体并入本文。基于衍射的量测的另一个应用是在目标内的特征宽度(cd)的测量中。这些技术可以使用上面关于图6至图9所描述的设备和方法。

现在,虽然这些技术是有效的,但是希望提供一种导出目标内的特征不对称性(例如套刻、cd不对称性、侧壁角度不对称性等)的新的测量技术。这种技术对于专门设计的量测目标可能是有效的,或者可能更重要的是用于直接在器件图案上确定特征不对称性。

参考图10,在套刻实施例的上下文中描述该测量技术的原理。在图10a中,示出了目标t的几何对称的单位单元。目标t可以仅包括单位单元的单个物理实例,或者可以包括单位单元的多个物理实例,如图10c中所示。

目标t可以是专门设计的目标。在一个实施例中,目标是用于划片槽。在一个实施例中,目标可以是裸片内目标,即,目标是在器件图案之中(并且因此在划片槽之间)。在一个实施例中,目标可以具有与器件图案特征可比较的特征宽度或节距。例如,目标特征宽度或节距可以小于或等于器件图案的最小特征尺寸或节距的300%,小于或等于器件图案的最小特征尺寸或节距的200%,小于或等于器件图案的最小特征尺寸或节距的150%,或者小于或等于器件图案的最小特征尺寸或节距的100%。

目标t可以是器件结构。例如,目标t可以是存储器器件的一部分(其通常具有一个或多个结构,这些结构是或可以是几何对称的,如下面进一步讨论的)。

在一个实施例中,目标t或单位单元的物理实例可以具有小于或等于2400平方微米的面积,小于或等于2000平方微米的面积,小于或等于1500平方微米的面积,小于或等于1000平方微米的面积,小于或等于400平方微米的面积,小于或等于200平方微米,小于或等于100平方微米,小于或等于50平方微米,小于或等于25平方微米,小于或等于10平方微米,小于或等于5平方微米,小于或等于1平方微米,小于或等于0.5平方微米,或小于或等于0.1平方微米。在一个实施例中,目标t或单位单元的物理实例具有平行于衬底平面的如下横截面大小:小于或等于50微米,小于或等于30微米,小于或等于20微米,小于或等于15微米,小于或等于10微米,小于或等于5微米,小于或等于3微米,小于或等于1微米,小于或等于0.5微米,小于或等于0.2微米,或小于或等于0.1微米。

在一个实施例中,目标t或单位单元的物理实例具有结构的如下节距:小于或等于5微米,小于或等于2微米,小于或等于1微米,小于或等于500nm,小于或等于400nm,小于或等于300nm,小于或等于200nm,小于或等于150nm,小于或等于100nm,小于或等于75nm,小于或等于50nm,小于或等于32nm,小于或等于22nm,小于或等于16nm,小于或等于10nm,小于或等于至7nm,或小于或等于5nm。

在一个实施例中,目标t具有单位单元的多个物理实例。因此,目标t通常可以具有此处列出的较高尺寸,而单位单元的物理实例将具有此处列出的较低尺寸。在一个实施例中,目标t包括单位单元的50,000个或更多物理实例,单位单元的25,000或更多物理实例,单位单元的15,000或更多物理实例,单位单元的10,000或更多物理实例,单位单元的5,000或更多物理实例,单位单元的1000个或更多个物理实例,单位单元的500个或更多个物理实例,单位单元的200个或更多个物理实例,单位单元的100个或更多个物理实例,单位单元的50或更多物理实例,或单位单元的10个或更多物理实例。

理想地,单位单元的物理实例或单位单元的多个物理实例共同填充量测设备的光束斑点。在这种情况下,测量结果实质上仅包括来自单位单元的物理实例(或其多个实例)的信息。在一个实施例中,光束斑点具有如下横截面宽度:50微米或更小、40微米或更小、30微米或更小、20微米或更小、15微米或更小、10微米或更小、5微米或更小、或2微米或更小。

图10a中的单位单元包括在衬底上物理实例化或将要在衬底上物理实例化的至少两种结构。第一结构1000包括线,并且第二结构1005包括椭圆型形状。当然,第一结构1000和第二结构1005可以是与所描绘的结构不同的结构。

此外,在该示例中,第一结构1000和第二结构1005之间可以存在与它们的预期位置的相对偏移,这是由于它们分别转移到衬底上而具有套刻误差。在该示例中,第一结构1000位于衬底上比第二结构1005更高的层中。因此,在一个实施例中,可以在图案化工艺的第一执行中在较低的第一层中制作第二结构1005,并且可以在图案化工艺的第二执行中在较高的第二层中制作第二结构1000。现在,第一结构1000和第二结构1005不必位于不同的层中。例如,在双图案化工艺(包括例如作为双图案化工艺的一部分的蚀刻工艺)中,可以在同一层中制作第一结构1000和第二结构1005以实质上形成单个图案,但是在它们在同一层内的相对放置方面仍然可以存在“套刻”问题。在该单层示例中,第一结构1000和第二结构1005都可以具有例如图10a中针对第一结构1000所示的线的形式,但是通过第一图案转移工艺已经在衬底上提供的第二结构1005的线可以与在第二图案转移工艺中提供的结构1000的线交错。

值得注意的是,单位单元具有或能够具有相对于轴或点的几何对称性。例如,图10a中的单位单元相对于例如轴1010具有反射对称性,并且相对于例如点1015具有点/旋转对称性。类似地,可以看出,图10c中的单位单元的物理实例(以及因此单位单元的物理实例的组合)具有几何对称性。

在一个实施例中,单位单元具有针对某个特征(诸如套刻)的几何对称性。本文的实施例关注于当它是几何对称时具有零套刻的单位单元。然而,相反,对于某个几何不对称性,单位单元可以具有零套刻。然后,将使用适当的偏移和计算来考量当它具有某个几何不对称性时具有零套刻的单位单元。有针对性地,取决于某个特征值,单位单元应该能够改变对称性(例如,变得不对称、或变得进一步不对称或者从不对称情况变得对称)。

在图10a的示例中,单位单元具有用于零套刻的几何对称性(尽管它不需要是零套刻)。这由箭头1020和1025表示,其示出第一结构1000的线相对于第二结构1005的椭圆型形状均匀对准(并且该均匀对准至少部分地使得单位单元能够具有如图10a中所示的几何对称性)。因此,在该示例中,当单位单元具有几何对称性时,存在零套刻。然而,当套刻中存在误差(例如,非零套刻)时,单位单元不再是几何对称的,并且根据定义,目标不再是几何对称的。

此外,在目标包括单位的多个物理实例的情况下,周期性地布置单位单元的实例。在一个实施例中,将单位单元的实例布置在栅格中。在一个实施例中,周期性布置在目标内具有几何对称性。

因此,在该技术中,如下文进一步讨论的,利用了与感兴趣的特征不对称性(例如,非零套刻)相关的几何对称性的变化(例如,到几何不对称的变化、或者到进一步的几何不对称的变化、或从几何不对称到几何对称的变化)以能够确定特征不对称性(例如,非零套刻)。

可以使用例如图7的量测设备用辐射照射包括图10a的单位单元的物理实例的目标。由目标重定向的辐射可以例如被检测器190测量。在一个实施例中,测量重定向的辐射的光瞳,即傅里叶变换平面。这种光瞳的示例测量被描绘为光瞳图像1030。虽然光瞳图像1030具有菱形形状,但是它不是必需具有这样的形状。术语光瞳和光瞳平面在本文中包括其任何共轭,除非上下文另有要求(例如,在标识特定光学系统的光瞳平面的情况下)。光瞳图像1030实际上是在重定向的辐射的光瞳的光学特性(在这种情况下是强度)方面指定的图像。

为方便起见,本文的讨论将关注于作为感兴趣的光学特性的强度。但是,本文的技术可以与诸如相位和/或反射率之类的一个或多个替代或附加是光学特性一起使用。

此外,为方便起见,本文的讨论关注于检测和处理重定向的辐射的图像,特别是光瞳图像。然而,可以以与图像不同的方式测量和表示重定向的辐射的光学性质。例如,可以根据一个或多个光谱(例如,作为波长的函数的强度)来处理重定向的辐射。因此,可以将检测到的重定向的辐射的图像视为重定向的辐射的光学表示的示例。因此,在光瞳平面图像的情况下,光瞳图像是光瞳表示的示例。

此外,重定向的辐射可以是偏振的或非偏振的。在一个实施例中,测量光束辐射是偏振辐射。在一个实施例中,测量光束辐射是线性偏振的。

在一个实施例中,光瞳表示主要或基本上是来自目标的重定向辐射的一个衍射阶次。例如,辐射可以是特定辐射阶次的80%或更多、85%或更多、90%或更多、95%或更多、98%或更多、或99%或更多。在一个实施例中,光瞳表示主要或基本上是零阶重定向辐射。例如,当目标的节距、测量辐射的波长以及可选的一个或多个其他条件引起目标主要以零阶重定向时(尽管可能存在一个或多个更高阶的辐射),这可能发生。在一个实施例中,大部分光瞳表示是零阶重定向辐射。在一个实施例中,光瞳表示是第零辐射并且分别是第1阶辐射,其然后可以进行线性组合(叠加)。图7中的孔径186可以被用来选择辐射的特定阶次,例如零阶。

考虑到与第一结构1000和第二结构1005的几何对称的单位单元对应的光瞳图像1030,可以看出强度分布在光瞳图像内基本上是对称的(例如,具有与几何结构相同的对称类型)。通过从光瞳图像1030去除对称强度分布部分来进一步确认这一点,这导致导出的光瞳图像1035。为了去除对称强度分布部分,特定光瞳图像像素(例如,像素)可以通过从该特定光瞳图像像素处的强度减去对称定位的光瞳图像像素的强度来去除对称强度分布部分,并且反之亦然。在一个实施例中,像素可以对应于检测器(例如,检测器190)的像素,但是它不是必需的;例如,光瞳图像像素可以是检测器的多个像素。在一个实施例中,被减去像素强度的对称点或对称轴与单位单元的对称点或对称轴对应。因此,例如,考虑到光瞳图像1030,可以通过例如从所示出的那个特定像素处的强度ii中减去来自相对于对称轴1032对称定位的对称定位像素的强度ii'来去除对称强度分布部分。因此,被去除了对称强度部分的特定像素处的强度si则为si=ii-ii'。这可以针对光瞳图像的多个像素(例如,光瞳图像中的所有像素)进行重复。如在导出的光瞳图像1035中所看到的,对应于对称单位单元的强度分布实质上是完全对称的。因此,具有对称单位单元几何形状(并且如果适用,则具有单位单元的实例的某个周期性)的对称目标导致由量测设备测量的对称光瞳响应。

现在参考图10b,相对于图10a中描绘的单位单元来描绘套刻中的误差的示例。在这种情况下,第一结构1000相对于第二结构1005在x方向上偏移。特别地,以第一结构1000的线为中心的轴1010已经在图10b中向右偏移到轴1045。因此,套刻1040在x方向上存在误差;也就是说,x方向套刻误差。当然,第二结构1005可以相对于第一结构1000而进行偏移,或者两者可以相对于彼此进行偏移。无论如何,结果是x方向套刻误差。然而,从该单位单元布置应当理解,第一结构1000和第二结构1005之间的y方向上的纯相对偏移不会改变该单位单元的几何对称性。但是,利用适当的几何布置,在两个方向上的或在单位单元的各部分的不同组合之间的套刻可以改变对称性并且也可以被确定,如下面进一步讨论的。

由于单位单元的物理配置从图10a中的单位单元的标称物理配置的改变(由套刻1040中的误差表示)的缘故,结果是单位单元变得几何不对称。这可以通过不同长度的箭头1050和1055看出,这示出第二结构1005的椭圆型形状相对于第一结构1000的线而被不均匀地定位。相对于光瞳图像1030的对称点或对称轴来检查对称性,即,在这种情况下是相对于轴1032(其现在被示为轴1034)来检查对称性。

可以使用例如图7的量测设备用辐射照射图10b的单位单元的物理实例。可以例如通过检测器190记录重定向的辐射的光瞳图像。这种光瞳图像的示例被描绘为光瞳图像1060。光瞳图像1060实际上是强度的图像。虽然光瞳图像1060具有菱形形状,但它不是必需具有这样的形状;它可以是圆形形状或任何其他形状。此外,光瞳图像1060具有与光瞳图像1030基本相同的轴或坐标位置。也就是说,在该实施例中,图10a的单位单元中的对称轴1010和图10b的单位单元中的相同轴与光瞳图像1030、1060的对称轴1032对准。

考虑到与第一结构1000和第二结构1005的几何不对称的单位单元对应的光瞳图像1060,在视觉上看起来强度分布在光瞳图像内实质上是对称的。然而,在光瞳图像内存在不对称强度分布部分。该不对称强度分布部分是由于单位单元的不对称性造成的。此外,不对称强度分布在量值上显著低于光瞳图像中的对称强度分布部分。

因此,在一个实施例中,为了更有效地隔离不对称强度分布部分,可以从光瞳图像1060去除对称强度分布部分,这导致导出的光瞳图像1065。与获得导出的光瞳图像1035一样,特定光瞳图像像素(例如,像素)可以通过从该特定光瞳图像像素处的强度减去对称定位的光瞳图像像素的强度来去除对称强度分布部分,并且反之亦然,如上所讨论的那样。因此,例如,考虑到光瞳图像1060,可以通过例如从所示出的那个特定像素处的强度ii中减去来自相对于对称轴1032对称定位的对称定位像素的强度ii'来去除对称强度分布部分以产生si。这可以针对光瞳图像的多个像素(例如,光瞳图像中的所有像素)进行重复。在图10a和图10b中,出于解释目的描绘了si的完整导出的光瞳图像。可以理解,图10a或图10b的导出的光瞳图像的一半与其另一半相同。因此,在一个实施例中,可以使用仅来自光瞳图像的一半的值来进行本文所讨论的进一步处理,并且因此在本文的进一步处理中使用的导出的图像光瞳可以仅是针对光瞳的si值的一半。

如在导出的光瞳图像1065中所看见的,使用不对称单位单元的物理实例所测量的强度分布是不对称的。如在区域1075和1080中所看见的,一旦去除对称强度分布部分,就存在可见的不对称强度分布部分。如上所指出,示出了完整导出的光瞳图像1065,并且因此在两个半部分上示出了不对称强度分布部分(但是在它们各自的半部分中不对称强度分布部分在量值和分布方面也彼此相等)。

因此,几何域中的不对称性对应于光瞳中的不对称性。因此,在一个实施例中,提供了一种方法,该方法使用周期性目标的光学响应来确定对应于物理配置变化的参数,该周期性目标具有或支持其单位单元的物理实例中的固有几何对称性,而该物理配置变化引起单位单元的物理实例的几何对称性的变化(例如,引起不对称、或引起进一步的不对称、或引起不对称单位单元变得对称)。特别地,在一个实施例中,可以利用由量测设备测量的光瞳中的由套刻引发的不对称性(或不对称性的缺少)来确定套刻。也就是说,光瞳不对称性被用来测量单位单元的物理实例内的套刻,并因此测量目标内的套刻。

为了考虑如何确定与引起单位单元中的几何不对称的物理配置变化相对应的参数,光瞳图像中的像素的强度可以根据目标的影响该像素的物理特性来考虑。为此,将考虑套刻示例,但是可以将技术和原理扩展到与引起单位单元中的几何不对称性(例如,不对称侧壁角度、不对称底壁倾斜、接触孔中的椭圆度等)的物理配置变化相对应的另一参数。

返回参考图10a和图10b的单位单元,可以在分析上将光瞳图像1060中的像素iii′i的强度评估为可归因于单位单元的不同物理特性的强度分量的组合。特别地,可以评估从对称单位单元到不对称单位单元的物理配置变化,以确定强度分布以何种方式变化并且特别是在光瞳图像内以何种方式变化。

因此,在用于说明原理的非常简单的示例中,可以评估单位单元轮廓的物理配置中的若干变化(但是当然可以发生更多或不同的物理配置变化)。将考虑的物理配置变化之一是结构1000在z方向上的高度变化,其被标明为δxh。但是,显著地,这种高度变化在单位单元的物理实例上通常是均匀的。也就是说,δxh将导致单位单元在对称轴或对称点的一侧处与在对称轴或对称点的另一侧处的相同变化的物理配置。类似地,其他物理配置变化,诸如cd、侧壁角度等的变化,在单位单元的物理实例上通常也是均匀的,并且因此产生单位单元的在对称轴或对称点的一侧与在对称轴或对称点的另一侧处的相同变化的物理配置。因此,为方便起见,将仅考虑δxh,但它代表了在整个单位单元上均匀的许多其他物理配置变化。

感兴趣的单位单元的另一物理配置变化是结构1000和结构1005之间的相对偏移,即套刻1040中的变化。该套刻偏移将被称为δxov。当然,可以在不同方向或附加方向上考虑套刻。值得注意的是,δxov将导致单位单元在对称轴或对称点的一侧处与在对称轴或对称点的另一侧处的不同物理结构;每对对称像素具有关于套刻的信息。显著地,虽然大多数目标轮廓参数(cd、高度等)的变化引发光瞳的对称变化(并且因此可以被认为是对称参数),但是套刻的变化导致测量的光瞳的不对称变化。因此,套刻的变化给出了不对称的光瞳响应。此外,大多数(如果不是全部)其他单位单元轮廓参数不会创建单位单元或光瞳响应的不对称性。但是,它们会对测量的套刻值具有影响。如下所讨论的,对于第一阶,其他单位单元轮廓参数可能没有影响。在一个实施例中,对于第二阶或更高阶,其他单位单元轮廓参数对套刻值的确定具有影响。因此,如下面更详细讨论的,通过测量光瞳不对称性,可以由此确定套刻。

具体地,为了评估如何从测量的光瞳不对称性确定套刻,可以将光瞳图像1060中的像素i的强度ii定义为:

其中i0是可归因于照射辐射的基础强度,并且a,e,f和g是系数。因此,类似地,光瞳图像1060中的互补对称像素i′i的强度可以被定义为:

其中系数a′,b′,c′,d′,e′和f′特定于互补对称像素i′i的强度,并且与用于光瞳图像1060中的像素ii的强度的系数a,b,c,d,e和f是可比较的。

然后可以将光瞳图像1060中的对称像素之间的强度之差si=ii-i′i评估为:

已经发现,由于例如对称性,诸如eδxh之类的仅会包含对称参数的所有项消失,如等式(3)所示。此外,由于例如对称性,已经发现具有套刻的偶数幂的项对于对称定位的像素而言是相等的,并且因此诸如之类的项类似地消失。这就留下了具有套刻与对称参数的组合的项和仅具有套刻的奇数幂(例如,1、3、5、7等的幂)的项。

在上面的等式(3)中,已经发现强度的差异si主要取决于aδxov。也就是说,强度的差异si在很大程度上线性地取决于套刻,或者更显著地,套刻在很大程度上线性地取决于强度、特别是强度的差异si。因此,像素的强度的组合在与适当的转换因子线性组合时可以产生套刻的良好估计值。

因此,在一个实施例中,已经发现可以根据被适当加权的像素的强度的组合来确定套刻(其中,加权本身用作强度到套刻的转换因子或者可以与从强度到套刻的转换因子组合)。在一个实施例中,套刻信号可以被描述为:

m=∑iwisi(4)

其中,套刻信号m是测量的光瞳中的信号分量si的加权组合,wi是针对每个信号分量si的相应权重(并且权重充当信号分量和套刻之间的转换因子;如上所指出,转换因子可以改为与不用于将信号分量转换为套刻的权重组合使用)。在一个实施例中,权重wi是如下向量,该向量的量值与套刻相关。如上所指出,可以针对测量的光瞳的一半确定信号分量si。在一个实施例中,如果信号分量si对于所有的对称像素(n)对(n/2)具有基本相同的量值,那么信号分量si可以被求平均,并且根据以下公式与从信号分量si的总和到套刻的转换因子c组合,以产生总套刻:因此,在一个实施例中,权重可以具有两个角色——一个角色是每对像素在其套刻的测量方面的信任,另一个角色是将信号分量的光学特性的值(例如,强度水平,例如灰度水平)转换到套刻值(以例如纳米计)。如上所讨论的,第二个角色可以被委托给转换因子。

但是,在例如对于所有对称像素对而言信号分量si不具有基本相同的量值的情况下,同等地对测量的光瞳中的所有像素进行加权可导致低信噪比(低精度)。因此,期望对那些对套刻敏感的像素进行加权以对套刻的计算具有更大的贡献。因此,在一个实施例中,对套刻敏感的像素与对套刻具有低灵敏度的那些像素(实际上是非活动像素)具有不同(例如,更高)的权重。如上所指出,导出的光瞳1065的区域1075和1080中的像素具有对套刻的相对较高的灵敏度,而导出的光瞳1065中的其余像素相对于区域1075和1080中的像素而言具有低至无强度,该其余像素具有对套刻的低敏感度(因此应被加权为对套刻确定具有较低的贡献)。

在一个实施例中,针对等式(3)的aδxov项有效地确定权重。在一个实施例中,权重可以被扩展以针对aδxov项以及bδxovδxh(以及通常的针对其他参数的其他可比较项,诸如cd、侧壁角度等)而被确定。然而,该计算可能比仅针对等式(3)的aδxov项有效地确定权重更复杂。此外,在非线性过程的稳健性(针对对称参数)和确定套刻的精度(即,在对于相同实际套刻的每次确定的确定值的接近程度方面)之间存在折衷。因此,使用该计算可以牺牲精度来增强稳健性。因此,可以执行优化以增强精度(例如,最大化线性项的影响和抑制非线性项)、增强稳健性(例如,最大化非线性项)、或找到两者的平衡。但是,无论如何,使用与相关联的加权线性组合的强度组合可以带来套刻的快速确定,因为它仅需要光瞳采集和等式(4)的简单计算。

在一个实施例中,在高阶项变得显著的情况下,可以采用非线性解技术来求解具有和/或其他更高阶项的等式(3)。如将理解的,非线性解技术可能比简单地将测量的光瞳中的每个信号分量si与针对每个信号分量si的相应权重wi相乘并且然后将它们全部加起来更复杂。此外,在对非线性过程的稳健性和确定套刻的精度(即,在针对相同实际套刻的每次确定的确定值的接近程度方面)之间再次进行权衡。因此,使用该计算可以牺牲精度来增强稳健性。因此,可以执行优化以增强精度和/或增强稳健性。

因此,通过实现由套刻引起的单位单元的几何不对称性所引起的不对称强度分布,可以通过关注该不对称强度分布的分析来确定套刻中的误差。因此,现在将讨论用于根据由于与套刻相关联的目标的物理配置的变化而引起的不对称强度分布来确定套刻的技术。

参考图11,示意性地描绘了确定权重的方法。为了实现权重确定,将有利地使用上面关于图9描述的重建技术。也就是说,在一个实施例中,使用cd重建来将套刻信号与不对称单位单元的物理实例的光瞳图像隔离。

图11的方法涉及两个过程。第一过程1100涉及作为图案化工艺的一部分,使用用于目标的cd和/或一个或多个其他轮廓参数的重建技术来导出曝光在衬底上的目标(以及其中的单位单元的一个或多个物理实例)的标称轮廓。利用目标的标称轮廓,在过程1110中使用重建技术的基本引擎来导出加权。然后可以使用加权来从测量的光瞳导出套刻,如关于图12进一步描述的。

因此,在过程1100处,获得对作为目标的衬底的测量1130,该衬底上设置有感兴趣的单位单元的一个或多个物理实例。在一个实施例中,测量是对蚀刻之后的目标进行的。在一个实施例中,测量是对在显影之后但在蚀刻之前的目标进行的。在一个实施例中,目标是器件结构。在一个实施例中,可以使用诸如图7的量测设备之类的量测设备进行测量或者已经进行了测量。例如,目标可以包括图10a或图10b的单位单元的物理实例,例如,如图10c中所示的单个实例或多个相邻实例。在一个实施例中,获得对多个目标(以及因此对单位单元的多个物理实例)的测量。在一个实施例中,测量是对跨衬底而分布的目标进行的。在一个实施例中,测量多个衬底,每个衬底具有一个或多个目标(每个目标具有单位单元的一个或多个物理实例)。因此,在一个实施例中,针对每个测量目标,获得辐射分布108。

然后,使用在1100处的重建过程,诸如在图9中描述的并且关于图9描述的重建过程,来导出可与图9的轮廓206可比较的单位单元的物理实例的标称轮廓。重建过程获得单位单元的物理实例的预期轮廓1120以开始并促进重建过程。在一个实施例中,导出的标称轮廓是从跨一个或多个衬底的目标的轮廓的平均而获得的。例如,可以处理针对每个目标的辐射分布108以导出目标的该实例的特定轮廓,然后可以将针对目标的多个实例的轮廓一起求平均以导出标称轮廓。在一个实施例中,标称轮廓至少包括目标的几何轮廓。在一个实施例中,几何轮廓是三维轮廓。在一个实施例中,标称轮廓包括关于构成物理目标的一个或多个层的一个或多个材料性质的信息。

因此,在一个实施例中,标称轮廓可以被认为是目标(并且因此是单位单元)的轮廓的各种参数的值的重心,该各种参数的值是从测量跨衬底并且可选地在一个以上的衬底上的目标的多个实例中所获得的。但是,在一个实施例中,标称轮廓可以具有不同的形式并且更具体。例如,可以针对目标的一个或多个特定实例(例如,通过使用来自多个衬底的(一个或多个)相同目标位置的值)来定义标称轮廓。作为另一个示例,可以针对特定衬底(例如,通过使用仅来自该衬底的值)来定义标称轮廓。在一个实施例中,作为图12的过程的一部分,可以针对特定目标和/或衬底调整标称轮廓。例如,当作为图12的过程的一部分而测量目标和/或衬底时,可以将重建技术与测量的数据一起使用以微调针对该目标和/或衬底的标称轮廓,然后可以将微调的标称轮廓用作本文的标称轮廓以确定权重,并且然后可以将此权重与相同的测量数据一起使用以产生一个或多个套刻值。

然后将重建的标称轮廓1140提供给过程1110。因此,在一个实施例中,过程1110使用目标的导出的标称轮廓,例如,从测量数据导出的器件的单位单元的几何蚀刻后轮廓。在一个实施例中,标称轮廓可以是参数化模型的形式,如根据测量的单位单元而参数化的模型206。因此,在一个实施例中,过程1110使用单位单元的导出轮廓模型,例如,从测量数据导出的器件的单位单元的物理实例的几何蚀刻后轮廓的模型。

本文所描述的重建技术的基本引擎在过程1110中与导出的轮廓或导出的轮廓模型一起使用,以导出加权。在一个实施例中,导出的轮廓模型或从导出的轮廓中导出的导出轮廓模型被用来确定单位单元中对套刻敏感的光瞳像素。特别地,在一个实施例中,通过使用模拟(例如,麦克斯韦求解器)来确定光瞳响应的对套刻的灵敏度,以确定由针对标称轮廓的套刻中的引发变化带来的光瞳响应中的变化。

这可以通过使导出的轮廓模型变化以便在模型中引发一定量的套刻变化(例如,1nm)来实现,而导出的轮廓模型的所有其他参数/变量保持不变。这有效地使得对称单位单元变得不对称或者使得已经不对称的单位单元可以是对称的)从而改变对称性(包括变得进一步不对称或从不对称情况变得对称)。

然后基于具有引发的套刻变化的导出的轮廓模型,可以(例如,使用麦克斯韦求解器、库搜索或其他重建技术)导出在量测设备中预期的光瞳(例如,对于在某个测量光束波长、测量光束偏振、测量光束强度等处的辐射)。在单位单元的物理实例小于光束斑点的情况下,重建可以将光束斑点视为被填充有单位单元的物理实例。在一个实施例中,导出的光瞳可以是模拟的光瞳图像1060和/或基于模拟的光瞳图像而导出的光瞳图像1065。

然后可以使用导出的光瞳,例如通过与针对没有引发套刻的单位单元的导出光瞳进行比较(例如,针对没有引发套刻的单位单元的导出光瞳可以是模拟的光瞳图像1030和/或基于模拟的光瞳图像而导出的光瞳图像1035),来确定多个光瞳像素中的强度对套刻变化的灵敏度。在一个实施例中,这些灵敏度形成加权的基础。

在一个实施例中,光瞳的像素(并且因此像素强度、信号分量si等)可以被表达为向量。在一个实施例中,然后可以从在建模中生成的雅可比(jacobian)矩阵导出加权。在一个实施例中,可以从在建模中生成的雅可比矩阵的摩尔-彭若斯(moore-penrose)广义逆导出加权。因此,对于等式(3)的aδxov项,有效地确定权重。从雅可比矩阵或雅可比矩阵的摩尔-彭若斯广义逆导出的加权看起来很好地适用于相对适度的套刻变化(例如,在±3nm内或在±4nm内或在±5nm内)。

在一个实施例中,权重可以被扩展为针对aδxov项以及bδxovδxh(以及通常的针对其他参数的其他可比较项,诸如cd、侧壁角度等)而被确定。在这种情况下,除了雅可比矩阵之外,加权是在建模中生成的黑塞矩阵,或者可以从该黑塞矩阵导出。黑塞矩阵显示了对套刻的响应由于一定量的另一(对称)参数(诸如cd)的变化而如何变化。因此,对于每个这样的参数,黑塞矩阵中都有一列。在一个实施例中,为了(更)稳健,可以更改权重,使得它们变得与单位单元对其敏感的列(参数)更加正交。为了变得更加正交,可以将一个或多个敏感列级联到雅可比矩阵,然后可以从被级联有来自黑塞矩阵的一列或多列的该雅可比矩阵计算摩尔-彭若斯广义逆。根据该计算,得出权重。然而,这种计算可能是更复杂的,并且因此可以适用于预期实际中的套刻值超过套刻变化范围的那些情况,而对于该套刻变化范围,从雅可比矩阵(的摩尔-彭若斯广义逆)导出的加权显示出良好结果。

在一个实施例中,权重可以被扩展为针对等式(3)的其他项而被确定。在这种情况下,除了雅可比矩阵之外,加权是在建模中生成的三阶导数,或者可以从该三阶导数导出。

如上所指出,标称轮廓可以是每个目标或衬底的微调标称轮廓。例如,当作为图12的过程的一部分而测量特定目标或衬底时,可以将重建技术与测量数据一起使用以微调针对该目标或衬底的标称轮廓。现在,取决于微调,可以(重新)确定权重和/或在所进行的加权的类型之间进行选择(例如,雅可比矩阵或雅可比矩阵和黑塞矩阵的组合)。例如,可能先前已选择基于未经微调的标称轮廓的权重来抑制δxh的影响,但是如果微调识别并更新了针对目标和/或衬底的δxh,则可能不需要抑制δxh的影响。因此,可以选择更有利于精度而不是稳健性的权重。

因此,从过程1110,可以输出权重wi的集合(例如,向量)。权重wi本身可以充当强度到套刻的转换因子,或者它们可以与从强度到套刻的转换因子进行组合(该转换因子可以作为同一建模的一部分而被导出)。从光瞳图像1065可以理解,区域1075和1080中的像素具有比区域1075和1080之外的像素相对更高的套刻灵敏度,并且因此它们的加权将与区域1075和1080之外的像素(这些像素对套刻的灵敏度相对较低)的加权明显不同(例如,较高)。所以,当权重与具有单位单元的一个或多个物理实例的目标的测量强度值组合时(诸如根据等式(4)),可以获得针对特定目标(诸如具有单位单元的物理实例的器件图案)的套刻信号。

此外,可以确定一个或多个测量参数以形成用于在获得目标的测量强度值中使用的测量策略。一个或多个测量参数可以影响像素的套刻灵敏度。例如,套刻灵敏度在不同的测量光束波长上变化。因此,在一个实施例中,一个或多个测量参数(诸如波长、偏振、剂量、由检测器传感器得到的对目标的特定一个照射的多个光学特性读数(读数通常被求平均以针对目标的测量提供平均光学特性值)可以作为建模过程1110的一部分而变化。例如,可以针对特定的引发套刻变化而检查一个或多个测量参数,以确定一个或多个测量参数的值,该值将例如在加权是针对一个或多个参数的一个值时获得的套刻相对于当加权是针对一个或多个参数的另一个值时获得的套刻之间的误差残差减小到最小值或低于某个阈值。因此,然后可以获得提高精度的一个或多个测量参数的值。

此外,对工艺变化的稳健性在一个或多个测量参数的不同值上是不同的。例如,特别地,对于工艺变化的稳健性在测量光束波长和/或测量偏振的不同值上是不同的。因此,在一个实施例中,加权方案应该至少解决对工艺变化缺乏稳健性的主要贡献者。因此,除了确定用于提高精度的一个或多个测量参数的值之外或作为备选,可以针对不同的特定引发套刻变化值(和/或针对导出的轮廓模型的诸如cd、侧壁角度等等之类的一个或多个其他参数的特定引发变化)检查一个或多个测量参数,以获得一个或多个测量参数的如下值,该值使用对工艺变化具有增强的稳健性的权重来实现结果。例如,对于不同量的引发套刻变化,可以评估一个或多个测量参数的各种值以使用与一个或多个测量参数的值相关联的加权来确定一个或多个测量参数的值,所述值引起所确定的套刻中的最小(或低于阈值)变化。当然,可以使用平衡来选择精度和增强稳健性之间的一个或多个测量参数的值。例如,可以在针对精度确定的一个或多个测量参数的值(例如,应用于测量精度的性能度量的权重)和针对增强的稳健性而确定的一个或多个测量参数的值(例如,应用于测量稳健性的性能度量的权重)之间应用加权,然后可以选择最大的、排名最高的等等的组合。当然,可以确定一个或多个测量参数的多个值,使得在整个测量策略中实际上存在多个不同的测量策略。可以根据一个或多个性能度量对多个值进行排名。因此,可选地,可以从过程1110输出测量策略,以用于在获得具有单位单元的一个或多个物理实例的目标的测量强度值中使用。

此外,诸如cd、侧壁角度等等之类的一个或多个非套刻参数可以影响用于将强度信号映射到套刻的权重。如上所指出,在该上下文中确定权重的示例方式是使用黑塞矩阵和/或三阶导数。因此,在一个实施例中,各种可能的加权方案可以考虑一个或多个非套刻参数,以便仍然保持良好的套刻值。在一个实施例中,可以针对套刻确定精度,来优化包含套刻信息的套刻像素及其加权。这可能需要良好的模型质量,即非套刻参数的良好估计。在一个实施例中,可以针对诸如非套刻参数中的对工艺变化的增加的稳健性,来优化包含套刻信息的像素及其权重。这可能以牺牲精度为代价。

在一个实施例中,可以使用例如关于图9所描述的重建技术来进行一个或多个非套刻参数的估计,并且进行前向馈送以调整导出的轮廓或导出的轮廓模型。例如,cd重建可以估计在衬底处的特定位置处的目标的cd和/或针对图案化工艺设置(例如,曝光剂量、曝光聚焦等)的特定组合来估计该目标的cd,并使用该cd估计来调整导出的轮廓或导出的轮廓模型的cd参数。在一个实施例中,可以执行对精确导出的轮廓或导出的轮廓模型参数的迭代重建。

参考图12,确定针对具有能够几何对称的单位单元的一个或多个物理实例的目标的套刻值的方法。该方法涉及两个过程1200和1210。过程1200涉及获得对具有单位单元的一个或多个物理实例的目标的测量。过程1210涉及基于来自过程1200的目标的测量来确定针对测量目标的套刻值。

过程1200将待测量的目标1220作为输入,该目标1220包括如本文所述的能够几何对称的单位单元的一个或多个物理实例。在一个实施例中,将具有目标的一个或多个实例的衬底提供给量测设备,诸如图7的量测设备。

可选地,过程1200将为目标所指定的特定测量策略1230作为输入。在一个实施例中,测量策略可以指定一个或多个测量参数的值,该一个或多个测量参数诸如选自以下项中的一项或多项:测量光束波长、测量光束偏振、测量光束剂量、和/或由量测设备的检测器传感器所得到的对目标的特定一个照射的多个光学特征读数。在一个实施例中,测量策略可以包括多个测量策略,每个测量策略指定一个或多个测量参数的值。测量策略可以被用来测量目标。

然后,过程1200根据可选的测量策略使用量测设备测量目标。在一个实施例中,量测设备获得重定向的辐射的光瞳表示。在一个实施例中,量测设备可以产生诸如光瞳图像1030(例如,如果目标在套刻中没有误差)或光瞳图像1060(例如,如果目标在套刻中具有误差)之类的光瞳表示。因此,在一个实施例中,过程1200输出关于来自目标的重定向辐射的光学信息1240,诸如辐射的光瞳表示。

然后,过程1210接收光学信息1240并处理光学信息以确定针对目标的套刻值1260。在一个实施例中,过程1210接收根据图11的方法确定的加权1250作为输入,然后将该加权1250与从光学信息1240获得或导出的一个或多个光学特性值(例如,强度)进行组合。

在一个实施例中,过程1210(或过程1200)可以处理光学信息以从光学信息导出原始套刻信号。在一个实施例中,原始套刻信号包括光学信息的差分,即,跨对称轴或对称点的对称像素之间的光学特性值中的差异。在一个实施例中,可以获得导出的光瞳图像1035(例如,如果目标在套刻中没有误差)或导出的光瞳图像1065(例如,如果目标在套刻中具有误差)。

在一个实施例中,关于由目标重定向的辐射的加权和光学信息(例如,来自过程1200的光学信息或来自过程1200的光学信息的已处理版本,诸如原始套刻信号)被组合以确定套刻值。在一个实施例中,使用与相关联的加权线性组合的重定向测量光束强度的组合可以引起套刻的快速确定。例如,在一个实施例中,可以使用等式(4)导出套刻值,其中使用针对每个信号分量si的相应权重wi,将套刻值m计算为来自原始套刻信号的信号分量si的加权组合。

在一个实施例中,可以另外使用从过程1200收集的光学信息来导出除了套刻之外的一个或多个目标相关参数。例如,从过程1200收集的光学信息可以被使用在重建过程中以导出目标的任何一个或多个几何轮廓参数,诸如cd、侧壁角度、底脚倾斜等。因此,在一个实施例中,从目标收集的同一组光学信息诸如裸片内蚀刻后目标可以被用来确定目标(诸如器件结构)的套刻、cd和/或一个或多个其他几何轮廓参数。

虽然如上所指出,关注的是强度,但是在一个实施例中,光学特性可以是反射率,辐射可以是偏振的,并且测量可以是交叉偏振测量。例如,可以用该偏振或以不同的偏振来测量曝光于某个线性偏振的目标。因此,对于对称像素pi和p′i(其中撇号表示对称位置),针对这些像素的反射率r可以被测量如下:

其中s表示s偏振,p表示p偏振。因此,反射率rss对应于当使用s偏振照射目标时所测量的s偏振辐射的反射率r,反射率rsp对应于当使用p偏振照射目标时所测量的s偏振辐射的反射率r,等等。而且,这些测量可以在不同的波长下进行。并且,已经发现,在某些实施例中,可以从同余因子(congruent)rps和rsp中找到并确定响应于套刻变化而改变其对称性的针对对称单位单元的套刻。

此外,非线性可以源自套刻和/或其他参数。如上所讨论,可以通过适当选择加权,例如通过使用黑塞矩阵和/或三阶导数导出加权,来解决某些非线性。在一个实施例中,可以通过使用非线性解来解决非线性,从而从所测量的来自目标的重定向辐射的光学信息导出套刻。

在一个实施例中,可以通过使用如上所述的用于导出标称轮廓的重建引擎来确定套刻。例如,可以使用从基于导出的标称轮廓和/或导出的标称轮廓模型的模型工作的非线性求解器来导出根据来自感兴趣的目标的重定向辐射所预期的光学信息的模拟版本,该模拟版本可以与感兴趣的目标的测量光学信息进行比较。如上所指出,感兴趣的目标包括单位单元的一个或多个物理实例,该单位单元可以是对称的并且在经历套刻时改变其对称性。于是,如果在某个阈值内没有达成一致,则可以改变几何轮廓参数(例如,套刻),并且重新计算光学信息的模拟版本并将该模拟版本与测量光学信息进行比较,直到在阈值内达成一致。类似地,可以将感兴趣的目标的测量光学信息与根据来自感兴趣的目标的重定向辐射所期望的光学信息的库进行比较(该库通常将使用非线性求解器导出)。然后,如果在某个阈值内没有达成一致,则可以改变几何轮廓参数(例如,套刻),并且可以针对光学信息的模拟版本而再次查询库,该模拟版本与测量光学信息进行比较,直到在阈值内达成一致为止。

在一个实施例中,重建引擎与来自感兴趣的目标的测量光学信息的一起使用是使用如下测量光学信息:如上所述已经例如通过从每个像素处的光学特性值中减去在对称点或对称轴上对称地定位的像素处的光学特性值而从所述测量光学信息中移除了辐射的对称分布。因此,光学信息基本上仅涉及辐射的不对称分布。类似地,光学信息的模拟版本或库版本基本上仅涉及辐射的不对称分布。这将有助于计算和/或比较的速度,因为不需要计算或评估光学信息的显著部分,因为它将通过差分消除。

在非线性解的另一个实施例中,可以用非线性求解器求解等式(3)的展开以导出δxov。特别地,等式(3)中的(a-a′),(b-b′),(c-c′)等(如果适用)的值可以作为感兴趣的单位单元的导出的标称轮廓和/或导出的标称轮廓模型的确定的一部分而被确定。例如,一旦导出的标称轮廓已作为非线性重建的一部分而被确定,则可以获得与导出的标称轮廓相对应的针对光瞳的模拟光学信息或库光学信息(例如,对应于导出的标称轮廓针对套刻中的特定变化的扰动(例如,δxov)),然后可以使用例如对解进行迭代(例如,响应于套刻中的一个或多个扰动(例如,δxov))的非线性求解器,为光瞳中的每个像素确定a,b,c等的值(如果适用),以便最小化残差。结果是针对光瞳的a值的向量(每个a值对应于光瞳的一个像素)、针对光瞳的b值的向量(每个b值对应于光瞳的一个像素)、针对光瞳的c值的向量(每个c值对应于光瞳的一个像素),等等——如果适用。然后可以将这些向量与si值的向量进行组合,si值的向量是从具有感兴趣的单位单元的目标的测量光瞳确定的。例如,对解进行迭代以便最小化残差的非线性求解器可以得到这些输入的向量,然后求解套刻δxov。

虽然上面的讨论关注于使用对单位单元的物理轮廓进行建模的模型,但是在一个实施例中,可以使用数据驱动技术来导出加权,该数据驱动技术不需要物理轮廓建模或者可以利用对物理轮廓建模进行补充的数据驱动技术来导出。因此,在一个实施例中,有利地,数据驱动技术可以不需要物理轮廓模型;这可能是有用的,例如,用于限制机密信息的共享,因为物理轮廓建模开始于并确定在单位单元是器件图案结构的情况下可以是敏感信息的关于单位单元(以及因此目标)的细节。在一个实施例中,数据驱动技术能够相对快速地确定例如如上所讨论的权重,以将测量光学信息(例如,光瞳强度)转译成图案化工艺参数(例如,套刻)。在一个实施例中,数据驱动技术使得能够在早期阶段确定图案化工艺参数,因为如下所讨论的,所驱动的数据技术可能仅需要测量数据和相关联的参考。

因此,在一个实施例中,数据驱动技术涉及利用感兴趣的图案化工艺参数(例如,套刻)的一个或多个特定设定值来处理从作为一个或多个目标的一个或多个衬底测量的数据(“获取”数据),该一个或多个衬底上图案化有感兴趣的单位单元的物理实例。“设定”某个图案化工艺参数(例如,套刻)的有意值以与从这些图案测量的数据(“获取”数据)一起来创建图案的这种组合称为“设定-获取”过程。例如,作为图案化工艺的一部分,创建单位单元的物理实例的特定量的套刻,然后测量具有单位单元的物理实例的目标以获得例如其光瞳图像(即,“获取”数据)。在一个实施例中,可以以这种方式图案化和测量多个衬底。在一个实施例中,创建多个不同的套刻设定值,其中不同的套刻值可以在一个衬底上、可以跨越不同的衬底等等。在一个实施例中,每个衬底将具有多个被测量的目标,从而产生例如多个光瞳图像。在一个实施例中,可以通过在图案化单位单元的物理实例的不同部分之间引发从设计放大率的放大率变化来创建套刻。在一个实施例中,可以通过提供在图案化单位单元的物理实例的不同部分之间的设计定位的有意平移来创建套刻。因此,结果是例如由光刻设备引发的在目标中的特意应用的套刻。

在一个实施例中,通常获得测量数据和相关联的参考值。因此,在一个实施例中,如果存在不同的套刻,则不需要提供特意的套刻,但是这些套刻通过另一种手段(例如,从扫描电子显微镜)确定。在一个实施例中,具有相应参考数据(例如来自cd-sem)的临界尺寸均匀性衬底可以被用作输入数据。利用测量数据和参考值,如本文所讨论的,数据驱动方法可以找到权重,使得推断的套刻值类似于参考值。因此,虽然对数据驱动技术的讨论将关注于在有意设定的套刻值下获得的测量光学信息和光瞳表示,但是该讨论通常可以被应用于更一般的测量数据和相关联的参考值(无论是测量的还是有意设定的)。

此外,虽然这里的技术涉及特定套刻(例如,x方向上的套刻),但是应当理解,使用对应的测量数据和参考值,可以针对不同的套刻重复这里的技术(例如,y方向上的套刻、在不同层中的结构之间的套刻等等)。因此,可以针对不同的套刻确定不同的权重集合。

因此,参考图13,描绘数据驱动技术的实施例的高级流程。在1300处,执行计算以如上所讨论地导出权重,以将测量光学信息(例如,光瞳强度)转译成图案化工艺参数(例如,套刻)。特别地,计算使用了若干输入。输入之一是针对目标的“设定-获取”过程的设定值1320,该目标具有感兴趣的单位单元的物理实例。如上所指出,可以跨越一个或多个衬底来测量目标的多个实例,其中目标的一个或多个实例具有与目标的一个或多个其他实例不同的、图案化工艺参数的有意设定值的值。另一个输入是针对在不同设定值下的目标的那些实例的测量光学信息1310。在一个实施例中,光学信息1310是多个光瞳表示,每个光瞳表示对应于目标的一个实例。然后,在数据驱动技术中处理输入1310和1320以获得权重1330。以下描述这种数据驱动技术的示例。

在一个实施例中,用于找到权重的向量w的数据驱动技术的示例是最小化以下目标函数或评价函数以获得权重w

其中w是用于与测量的光学特性(例如,强度)的值组合以确定图案化工艺参数(例如,套刻)的权重的向量,每个权重对应于光瞳的一个像素值,pi是矩阵,其中每一列包含测量的光学特性的像素值,该测量的光学特性来自从衬底i获得的目标的实例的测量光瞳,衬底i被图案化以便获得图案化工艺参数的特定设定值(然后该矩阵被转置以使得列变为光瞳的像素,行变成衬底上的目标的一个或多个实例,并且矩阵中的值是在各个像素处测量的光学特性的值),si是包含针对一个或多个衬底i上的目标的一个或多个实例的图案化工艺参数的对应设定值的向量,每个设定值对应于一个图案化工艺参数值,1是设定值的数量大小的单位向量,并且ci是针对每个衬底的图案化工艺参数的设定值与图案化工艺参数的推断值之间的偏移差,并且d是测量的衬底的数量。矩阵pi可以是针对目标的每个实例的不同结果的组合。例如,可以使用不同的波长、不同的偏振等来测量目标。因此,这些结果可以级联到每一列,因此,例如,单个列可以具有针对用第一波长和第一偏振测量的目标光瞳的像素的值,其后是针对用不同的第二波长测量的目标光瞳的像素的列中的值,或者其后是针对用不同的第二偏振测量的目标光瞳的像素的列中的值(并且然后其后可以是在一个或多个不同的偏振和/或波长处的其他值)。

因此,实际上,该函数找到权重向量w,使得针对每个衬底的推断值看起来与除偏移ci之外的设定值si尽可能相似(在l2正则化范数意义上)。原则上,可以通过矩阵求逆来计算最佳权重和偏移。由于测量光学特性的像素值是用一个或多个特定量测设备获得的,因此可以通过校准数据对所获得的权重进行归一化,以减小特定量测设备本身对结果的影响。

代替或除了使用目标函数或评价函数作为数据驱动技术找到如上所述的权重之外,数据驱动技术可以使用机器学习算法如神经网络或非线性方法来在有意提供感兴趣的图案化工艺参数(例如,套刻)中的差异的情况下基于所测量的目标光瞳来确定权重。

在一个实施例中,在训练之后(即,使用目标函数或评价函数或机器学习算法),可以使用其他数据来检查权重。存在训练导致过度拟合的概率;数据驱动方法“只是”将数据拟合到设定值。因此,进行交叉验证。使用具有已知设定值的新数据来检查权重。该新数据也可以是当前(athand)的衬底的子集。因此,在一个实施例中,对衬底的子集进行训练,并且对衬底的另一个(分离)子集进行验证。

图14描绘了结合物理几何模型的数据驱动技术的实施例的高级流程。在该实施例中,可以使用如关于图13所描述的数据驱动技术来导出权重,该权重被用来调整物理几何模型(例如,通过使用黑塞矩阵来获得更好的模型标称值,通过改变标称模型值,等等),使得来自物理几何模型的权重(例如,物理几何模型的雅可比矩阵(的摩尔-彭若斯广义逆))与由数据驱动技术确定的权重相同或相似(例如,在值、统计性等方面)。因此,在一个实施例中,(缩放的)权重向量w可以被用来微调物理几何模型,使得物理几何模型被调整,以便雅可比矩阵(的摩尔-彭若斯广义逆)类似于(缩放的)权重向量w

因此,在一个实施例中,在1400处,执行数据驱动技术(在上面描述了其示例)以导出如上所讨论的权重。计算使用了若干输入。输入之一是针对目标的“设定-获取”过程的设定值1420,该目标具有感兴趣的单位单元的物理实例。如上所指出,可以跨越一个或多个衬底来测量目标的多个实例,其中目标的一个或多个实例具有与目标的一个或多个其他实例不同的图案化工艺参数的有意设定值的值。另一个输入是针对在不同设定值下的目标的那些实例的测量光学信息1410。在一个实施例中,光学信息1410是多个光瞳表示,每个光瞳表示对应于目标的一个实例。然后,在数据驱动技术中处理输入1410和1420以获得权重1430。

权重1430被输入到过程1440,以使用权重1430微调物理几何模型。过程1440获得针对单位单元的物理轮廓1450(过程1440使用物理轮廓1450来导出物理轮廓模型)或获得针对单位单元的物理轮廓模型1450(过程1440使用该物理轮廓模型1450)。在一个实施例中,物理轮廓是如上所讨论的单位单元的导出的标称轮廓和/或导出的标称轮廓模型。

过程1440使用物理几何模型来导出与权重1430对应的权重。然后将这些权重与权重1430进行比较。比较可以涉及量值的匹配、统计分析、拟合评估等。如果存在显著差异(例如,通过评估与阈值的比较),则可以调整物理轮廓的一个或多个参数。例如,可以调整一个或多个物理轮廓参数(例如,cd、侧壁角度、材料高度等),使得比较结果接近或等于例如某个阈值。在一个实施例中,黑塞矩阵可以被用来进行这种微调,或者可以使用非线性求解器(包括一个或多个前向调用(例如,麦克斯韦求解器))来进行这种微调。调整和比较可以被迭代,直到满足或超过阈值为止。然后,调整的物理几何模型可以输出经更新的权重1460,以用于与感兴趣的目标的测量光学信息组合使用来导出图案化工艺参数值。

图15描绘了结合物理几何模型的数据驱动技术的另一个实施例的高级流程。当物理几何模型的行为与测量数据类似时,物理几何模型可以被用来预测工艺变化的影响。因此,在一个实施例中,可以使用物理几何模型的黑塞矩阵来调整权重,使得权重变得(更)正交于工艺变化(该工艺变化并不在数据驱动技术中使用的数据中),以获得用于调整物理几何模型的权重。

这种使用黑塞矩阵来调整权重的方法也可以在没有数据驱动技术的情况下进行。也就是说,使用黑塞矩阵来更新权重的这种技术可以利用与图11相关联地描述的物理几何模型方法来进行。在这种情况下,例如,可以调整权重,使得权重变得(更)正交于工艺变化,该工艺变化并不在用于获得如上所讨论的单位单元的导出的标称轮廓和/或导出的标称轮廓模型的数据中。通过这种调整,权重对于在用于创建物理几何模型的测量数据中未观察到的工艺变化变得更具稳健性。

因此,在一个实施例中,在1500处,执行数据驱动技术(在上面描述了其示例)以导出如上所讨论的权重。计算使用了若干输入。输入之一是针对目标的“设定-获取”过程的设定值1510,该目标具有感兴趣的单位单元的物理实例。如上所指出,可以跨越一个或多个衬底来测量目标的多个实例,其中目标的一个或多个实例具有与目标的一个或多个其他实例不同的图案化工艺参数的有意设定值的值。另一个输入是针对在不同设定值下的目标的那些实例的测量光学信息1505。在一个实施例中,光学信息1505是多个光瞳表示,每个光瞳表示对应于目标的一个实例。然后,在数据驱动技术中处理输入1505和1510以获得权重1515。

权重1515被输入到过程1520以使用权重1515微调物理几何模型。过程1520获得针对单位单元的物理轮廓1525(过程1520使用物理轮廓1525来导出物理轮廓模型)或获得针对单位单元的物理轮廓模型1525(过程1520使用物理轮廓模型1525)。在一个实施例中,物理轮廓是如上所讨论的单位单元的导出的标称轮廓和/或导出的标称轮廓模型。

过程1520使用物理几何模型来导出与权重1515对应的权重。然后将这些权重与权重1515进行比较。比较可以包括量值的匹配、统计分析、拟合评估等。如果存在显著差异(例如,通过评估与阈值的比较),则可以调整物理轮廓的一个或多个参数。例如,可以调整一个或多个物理轮廓参数(例如,cd、侧壁角度、材料高度等),使得比较结果接近或等于例如某个阈值。在一个实施例中,黑塞矩阵可以被用来进行这种微调,或者可以使用非线性求解器(包括一个或多个前向调用(例如,麦克斯韦求解器))来进行这种微调。调整和比较可以被迭代,直到满足或超过阈值为止。

但是,如将理解的,图案化工艺可以在执行期间变化,并且针对图案化工艺的不同执行而不同地变化。因此,针对数据驱动技术所获得的数据没有考虑所有可能的图案化工艺变化。但是,当物理几何模型的调整使其行为与测量数据类似时,物理几何模型可以被用来预测工艺变化的影响并相应地调节权重。

因此,在一个实施例中,调整的物理几何模型1530被用来在1535处计算调整的物理几何模型的黑塞矩阵。然后,使用黑塞矩阵1540在1545处调整权重,使得权重变得(更加)正交(即,稳健)于在数据驱动技术中使用的数据中不存在的工艺变化,以获得用于调整物理几何模型的权重。换句话说,即使当衬底经受工艺变化时,权重也被调整为当与来自衬底的测量数据组合时更可能产生准确的结果。

这里在套刻的上下文中描述了如何使用黑塞矩阵来微调权重的非限制性示例;如果适用,可以使用不同的图案化工艺参数。在该示例中,假设仅评估一个套刻类型(例如,在x方向上的套刻)。使用多种套刻类型的微调也是可能的。

在使用黑塞矩阵微调权重的该实施例中,通过向从一个或多个设定-获取的衬底测量的数据应用单值分解,来根据该数据估计套刻响应。假设特征向量d(其具有长度1)对应于套刻响应。然后求解以下等式以找到向量δp

其中j是关于套刻参数的雅可比矩阵,并且黑塞矩阵h是如下矩阵,其中列包含关于工艺变化(例如,cd、材料高度等的变化)和套刻参数(雅可比矩阵和黑塞矩阵二者从如上所述的模型获得)的偏导数。然后,确定的向量δp对应于要应用于模型中的非套刻参数的增量参数,以获得更新的(例如,更好的)模型。

为了使权重对于工艺变化稳健(即与工艺变化正交),可以使用以下技术。光瞳i可以通过以下二阶泰勒展开式来定义:

ijo+hδpo(8)

其中j是关于套刻参数的雅可比矩阵,h是如下矩阵,其中列包含关于工艺变化(例如,cd、材料高度等的变化)和套刻参数的偏导数。向量δp包含对应的工艺变化。因此,对于给定结构和对于具有套刻值o的给定工艺变化实例δp,光瞳等于(约)i。如将理解的,通过将这些贡献相加,可以将上述公式扩展到更多的套刻参数。此外,因为泰勒展开式的高阶被忽略,所以这个公式是一种近似。

现在,如果工艺变化的影响很小,则使用雅可比矩阵j的摩尔-彭若斯逆来计算权重。在只有一个套刻参数的情况下,权重等于实际上,光瞳的加权平均值(内积)导致套刻值o(δp0),即

但是,当工艺变化具有很大影响时,套刻响应发生变化:

为了使权重对这些变化稳健,

hw0(11)

这可以通过使权重w等于矩阵[jh]的伪逆的第一行来实现。或者换句话说,在求逆之前将黑塞矩阵h级联到雅可比矩阵。以这种方式,权重变得与工艺变化正交(但是以一定的精度为代价)。

因此,根据调整1545,输出经调整的权重1550,以用于与感兴趣的目标的测量光学信息组合使用来导出图案化工艺参数值。

图16描绘了结合物理几何模型的数据驱动技术的另一个实施例的高级流程。在该实施例中,通过包括合成光学信息(例如,光瞳表示)来扩展输入到数据驱动技术的数据,该合成光学信息包含图案化工艺的工艺变化(例如,可以从cd测量获得图案化工艺变化)。可以使用单独的合成光学信息或与测量光学信息的组合,来利用数据驱动技术找到新的权重。

因此,在一个实施例中,在1500处,执行数据驱动技术(在上面描述了其示例)以导出如上所讨论的权重。计算使用了若干输入。输入之一是针对目标的“设定-获取”过程的设定值1510,该目标具有感兴趣的单位单元的物理实例。如上所指出,可以跨越一个或多个衬底来测量目标的多个实例,其中目标的一个或多个实例具有与目标的一个或多个其他实例不同的图案化工艺参数的有意设定值的值。另一个输入是针对在不同设定值下的目标的那些实例的测量光学信息1505。在一个实施例中,光学信息1505是多个光瞳表示,每个光瞳表示对应于目标的一个实例。然后,在数据驱动技术中处理输入1505和1510以获得权重1515。

权重1515被输入到过程1520,以使用权重1515微调物理几何模型。过程1520获得针对单位单元的物理轮廓1525(过程1520使用物理轮廓1525来导出物理轮廓模型)或获得针对单位单元的物理轮廓模型1525(过程1520使用物理轮廓模型1525)。在一个实施例中,物理轮廓是如上所讨论的单位单元的导出的标称轮廓和/或导出的标称轮廓模型。

过程1520使用物理几何模型来导出对应于权重1515的权重。然后将这些权重与权重1515进行比较。比较可以包括量值的匹配、统计分析、拟合评估等。如果存在显著差异(例如,通过评估与阈值的比较),则可以调整物理轮廓的一个或多个参数。例如,可以调整一个或多个物理轮廓参数(例如,cd、侧壁角度、材料高度等),使得比较结果接近或等于例如某个阈值。调整和比较可以被迭代,直到满足或超过阈值为止。

因此,在一个实施例中,调整的物理几何模型1530被用来在1535处计算调整的物理几何模型的黑塞矩阵。然后,使用黑塞矩阵1600在1610处生成合成光学信息(例如,一个或多个光瞳表示)。合成光学信息是模拟光学信息。合成光学信息旨在模仿图案化工艺中的一个或多个预期的工艺变化。在一个实施例中,关于图案化工艺中的一个或多个工艺变化的数据1620可以与黑塞矩阵1600结合使用以导出合成光学信息。在一个实施例中,合成光瞳i可以通过在上面的等式(8)中代入不同的套刻值o和不同的参数变化δp来生成,其中权重对应于虽然上述等式(8)是关于单个套刻参数,但是该技术也可以通过将这些贡献相加而扩展到更多套刻参数。此外,因为泰勒展开式中的较高阶被忽略,所以使用等式(8)的技术是一种近似。数据1620可以包括例如描述工艺变化的类型和程度的信息(例如,套刻、cd等可以以特定百分比进行变化的指示)。数据1620可以通过图案化工艺中的测量例如套刻、cd等而被获得。因此,数据1620与黑塞矩阵1600一起使用以生成包括预期的工艺变化的模拟光学信息1630。合成光学信息1630还可以包括与合成光学信息1630相关联的一个或多个相关联的估计设定值。然后将合成光学信息1630(和任何相关联的设定值)输入到数据驱动技术1500,以单独进行分析或与测量光学信息相结合地进行分析,从而使用数据驱动技术找到新的权重。

图17描绘了结合物理几何模型的数据驱动技术的另一个实施例的高级流程。该实施例类似于图16的实施例,除了以下情形之外:代替计算黑塞矩阵,针对每个工艺变化对非线性求解器(例如,麦克斯韦求解器)进行前向调用以获得合成光学信息。

因此,在一个实施例中,在1500处,执行数据驱动技术(在上面描述了其示例)以导出如上所讨论的权重。计算使用了若干输入。输入之一是针对目标的“设定-获取”过程的设定值1510,该目标具有感兴趣的单位单元的物理实例。如上所指出,可以跨越一个或多个衬底来测量目标的多个实例,其中目标的一个或多个实例具有与目标的一个或多个其他实例不同的图案化工艺参数的有意设定值的值。另一个输入是针对在不同设定值下的目标的那些实例的测量光学信息1505。在一个实施例中,光学信息1505是多个光瞳表示,每个光瞳表示对应于目标的一个实例。然后,在数据驱动技术中处理输入1505和1510以获得权重1515。

权重1515被输入到过程1520,以使用权重1515微调物理几何模型。过程1520获得针对单位单元的物理轮廓1525(过程1520使用其来导出物理轮廓模型)或获得针对单位单元的物理轮廓模型1525(过程1520使用之)。在一个实施例中,物理轮廓是如上所讨论的单位单元的导出的标称轮廓和/或导出的标称轮廓模型。

过程1520使用物理几何模型来导出对应于权重1515的权重。然后将这些权重与权重1515进行比较。比较可以包括量值的匹配、统计分析、拟合评估等。如果存在显著差异(例如,通过评估与阈值的比较),则可以调整物理轮廓的一个或多个参数。例如,可以调整一个或多个物理轮廓参数(例如,套刻,cd,侧壁角等),使得比较结果接近或等于例如某个阈值。调整和比较可以被迭代,直到满足或超过阈值为止。

因此,在一个实施例中,调整的物理几何模型1700被用来在1720处计算类似于如上所讨论的合成光学信息。类似于如上所讨论的,关于图案化工艺中的一个或多个工艺变化的数据1710可以与调整的物理几何模型1700结合使用以导出合成光学信息。例如,数据1710可以包括描述工艺变化的类型和程度的信息(例如,套刻、cd等可以以特定百分比进行变化的指示)。数据1710可以通过图案化工艺中的测量例如套刻、cd等而被获得。如上所指出,在1720处的过程可以针对工艺变化使用对非线性求解器(例如,麦克斯韦解算器)的前向调用,以获得合成光学信息。因此,数据1710与调整的物理几何模型1700一起使用以生成包括预期的工艺变化的模拟光学信息1730。合成光学信息1730还可以包括与合成光学信息1730相关联的一个或多个相关联的估计设定值。然后将合成光学信息1730(和任何相关联的设定值)输入到数据驱动技术1500,以单独进行分析或与测量光学信息相结合地进行分析,从而使用数据驱动技术找到新的权重。

在图10a至图10c中,呈现了单位单元的相对简单的示例,其中实质上仅一个方向上的套刻引起单位单元的对称性的变化。特别地,在图10a至图10c的单位单元中,在x方向上的套刻变化引起单位单元的对称性/不对称性的变化,而在y方向上的套刻变化没有引起单位单元的对称性的变化。这是图10a至图10c的单位单元具有两个结构1000、1005的结果,这两个结构以特定的几何方式被配置,使得实质上仅一个方向上的套刻引起单位单元的对称性的变化。当然,这可以以这种方式通过对结构的适当选择而进行设计。然而,可能的是,可以识别具有特定几何形状的现有结构诸如器件结构,该特定几何形状使得实质上仅一个方向上的套刻引起单位单元的对称性的改变。因此,可以选择或设计各种单位单元,该各种单位单元使得能够确定在实质上仅一个方向上的套刻(其不是必需在x方向上)。

然而,有利的是,可以识别或设计单位单元,该单位单元被配置为使得对于两个或更多个不同的套刻而言会产生单位单元的对称性的变化。在一个实施例中,不同的套刻可以在不同的方向上。具体地,在一个实施例中,第一套刻可以在x方向上,而第二套刻可以在y方向上。在一个实施例中,不同的套刻可以各自位于单位单元的结构或部分的不同组合之间。在一个实施例中,那些结构可以在目标的相同层中和/或不同层中。具体地,在一个实施例中,第一套刻可以位于单位单元的第一结构和第二结构之间,第二套刻可以位于单位单元的第一结构(或第二结构)与第三结构之间或单位单元的第三结构和第四种结构之间。在这种情况下,第一套刻和第二套刻可以在相同方向上。当然,可以存在不同方向上的不同套刻和来自单位单元的结构组合的不同套刻的组合。例如,第一套刻可以是在x方向上针对第一层中的第一结构和更低的第二层中的第二结构,并且第二套刻可以是在y方向上针对第一层中的第一结构和低于第二层的第三层中的结构。因此,可以通过单位单元(以及因此的目标)的适当识别或设计来确定套刻的多种组合。

此外,如将理解的,确定x方向和y方向上的套刻可以使得能够通过适当的组合确定总套刻(在x和y中)。类似地,为了使得能够确定多个不同结构(在该多个不同结构之间可以发生套刻)的总套刻,需要确定针对每个结构的套刻。因此,例如对于在4层中具有4个不同结构(在该4个不同结构之间可以发生套刻)的单位单元(其中一层是参考层),则可以确定6个套刻(针对每一层的x和y)以使得能够确定单位单元的总套刻。当然,可以根据需要确定子组合以获得在4层之中感兴趣的的一个或多个不同套刻。

图18描绘了目标的多重套刻单位单元的示例实施例。与图10a至图10c的单位单元类似,该单位单元包括第一结构1000和第二结构1005。另外,该单位单元具有第三结构1800,在该实施例中,第三结构1800在z方向上在第一结构1000和第二结构1005上方的层中。在该实施例中,可以通过一个或多个不同的套刻来创建该单位单元的不对称性。例如,结构1005和结构1800之间在x方向上的相对偏移可以产生在x方向上引起不对称性的套刻。作为另一个示例,结构1005和结构1000之间在y方向上的相对偏移可以产生在y方向上引起不对称性的套刻。作为另一个示例,结构1000和结构1800之间在y方向上的相对偏移可以产生在y方向上引起不对称性的进一步套刻。

图19描绘了目标的多重套刻单位单元的另一个示例实施例。与图10a至图10c的单位单元类似,该单位单元包括第一结构1000和第二结构1005。另外,与图18的单位单元类似,该单位单元具有第三结构1800,在该实施例中,第三结构1800在z方向上在第一结构1000和第二结构1005上方的层中。此外,该单位单元具有第四结构1900,该第四结构1900在该实施例中在z方向上在第一结构1000、第二结构1005和第三结构1800上方的层中。与图18的单位单元类似,在该实施例中,可以通过一个或多个不同的套刻来创建该单位单元的不对称性。例如,结构1005和结构1800之间在x方向上的相对偏移可以产生在x方向上引起不对称性的套刻。作为另一个示例,结构1005和结构1900之间在x方向上的相对偏移可以产生在x方向上引起不对称性的套刻。作为另一个示例,结构1005和结构1000之间在y方向上的相对偏移可以产生在y方向上引起不对称性的套刻。作为另一个示例,结构1000和结构1800之间在y方向上的相对偏移可以产生在y方向上引起不对称性的进一步套刻。

因此,在一个实施例中,如果实际上存在多个不同的套刻,则对图18或图19的单位单元的被照射的物理实例的测量将产生这样的光学信息:该光学信息在事实上存在多个不同套刻的情况下可能潜在地包括多个不同套刻。例如,参考图18,如果图18的单位单元的对称性表示零套刻并且结构1005的x和y中存在从其相对于其上覆结构的零套刻位置开始的偏移(例如,在不是0、90、180或270度的方向上的偏移),则该偏移将引起由于在x方向上在结构1005和结构1800之间的相对偏移以及在y方向上在结构1005和结构1000之间的相对偏移而引起的不对称性。因此,将期望确定在x方向和y方向上针对结构1005的套刻(该组合将产生结构1005的总套刻)。

如下所讨论的,提出了一种技术,该技术可以根据光学特性值、与单位单元的物理实例的第二套刻相分离地确定单位单元的物理实例的第一套刻的值,该第二套刻也从相同光学特性值可获得,其中第一套刻在与第二套刻不同的方向上(例如,x方向套刻和y方向套刻)或者在与第二套刻不同的、单位单元的各部分的组合之间(例如,结构1005和结构1800之间的第一套刻以及结构1005和结构1000之间或结构1000和结构1800之间的第二套刻,其中第一套刻和第二套刻可以在相同的方向上)。

也就是说,在一个实施例中,确定权重,以将光学特性值中的第一套刻信息与相同光学特性值中的第二(或更多)套刻信息解耦合。因此,在一个实施例中,通过应用专门选择的权重,该权重与光学特性值的组合将产生特定的感兴趣的套刻,该特定的感兴趣的套刻与相同光学特性值中的其他可能的套刻信息相区分。实际上,权重将以感兴趣的套刻为特征并轻视一个或多个其他套刻。当然,可以为每个感兴趣的套刻构建不同的权重集合,使得可以处理光学特性值以针对不同的感兴趣套刻中的每个套刻产生不同的值。

将关于图20的图描述该技术。图20的图呈现了该技术的图形表示,但实际上不需要构建该图,因为所有处理都可以以数学方式进行而无需创建该图。此外,关于图11的模型描述了该技术。但是,可以使用关于本文其他附图描述的模型(和相关联的其他技术)。

此外,该示例根据从模型导出权重的线性版本而被呈现。也就是说,在一个实施例中,从雅可比矩阵(的摩尔-彭若斯广义逆)导出权重。

因此,在这种线性情况下,为了重建诸如某个方向上的套刻之类的特定参数,可以对雅可比阵列求逆。但是,感兴趣的参数列如何与其余列相关,这决定了重建此参数的容易程度。

因此,在具有例如针对感兴趣的单位单元(例如,图18的单位单元)的标称轮廓模型的情况下,可以生成至少两个向量。第一套刻向量p1表示单位单元内感兴趣的第一套刻(例如,x方向套刻),第二套刻向量p2表示感兴趣的第二套刻(例如,y方向套刻)。如将理解的,可以针对附加的感兴趣的套刻来创建其他向量。

此外,对于两个套刻向量中的每一个,选择与单位单元的物理实例的预期测量相对应的光瞳表示的一个或多个像素。在该实施例中,为每个套刻向量选择一对像素,其中每对像素包括如前所述的对称定位的像素。理想地,如上所讨论的,从光瞳表示的不对称辐射分布部分中选择像素对。

现在,第一套刻向量p1对应于像素对中对第一套刻向量的感兴趣的第一套刻的变化(所有其他参数保持不变,即感兴趣的第二套刻没有变化)的响应(在这种情况下,创建该对的像素之间的不对称信号)。可以使用标称轮廓模型、通过引入感兴趣的第一套刻的变化(例如,1nm的变化)并且然后计算像素对中对该变化的光学响应(例如,强度)来生成该响应。

类似地,第二套刻向量p2对应于像素对中对第二套刻向量的感兴趣的第二套刻的变化(所有其他参数保持不变,即,感兴趣的第一套刻没有变化)的响应(在这种情况下,创建该对的像素之间的不对称信号)。可以使用标称轮廓模型、通过引入感兴趣的第二套刻的变化(例如,1nm的变化)并且然后计算像素对中的光学响应(例如,强度)来生成该响应。

在图20中绘制得到的向量,其中水平轴u对应于第一像素对的对称定位像素之间的不对称强度(ii-ii’),并且垂直轴v对应于第二像素对的对称定位像素之间的不对称强度(ii-ii’)。因此,图20示出了两个高度相关的向量p1p2

因此,为了将感兴趣的第一套刻和第二套刻对像素对的贡献解耦合并分离,将向量p1后向投影到向量上,向量是与向量p2正交的向量以形成向量p′1,并且投影向量p′1的长度除以向量p1之间的角度θ1的余弦。然后,该向量有助于将感兴趣的第一套刻与像素对的强度(以及通过扩展光瞳表示中的其他像素对)隔离。

另外地或可替代地,将向量p2后向投影到向量p1上,向量p1是与向量p1正交的向量以形成向量p2,并且投影向量p′2的长度除以向量p2p1之间的角度θ2的余弦。然后,该向量有助于将感兴趣的第二套刻与像素对的强度(以及通过扩展光瞳中的其他像素对)隔离。

因此,返回参考等式(3)和(4),si表示像素对的对称定位像素之间的不对称强度(ii-ii’)。因此,第一套刻向量p1可以对应于具有u0的si的第一像素对中和具有v0的si的第二像素对中对感兴趣的第一套刻的变化的响应。类似地,第二套刻向量p2可以对应于那些第一和第二像素对中对感兴趣的第二套刻的变化的响应。因此,可以构建向量p′1和/或向量p′2;在这里,两个都是为了解释目的而构建的。根据与对应于u0的第一像素对相对应的强度u和根据与对应于v0的第二像素对相对应的强度v来定义向量p′1和向量p′2。因此,可以将向量p′1和向量p′2指定为:

p′1=(u′1,v′1)(12)

p′2=(u′2,v′2)(13)

因此,现在在上面描述的线性上下文中并且参考等式(4),然后可以基于u0、v0和向量p′1和p′2来定义感兴趣的第一套刻的套刻值如下:

ovp1=(u′1u0+v′1v0)/cosθ1(14)

另外地或可替代地,然后可以基于u0、v0和向量p′1和p′2来定义感兴趣的第二套刻的套刻值如下

ovp2=(u′2u0+v′2v0)/cosθ2(15)

因此,从等式(14),分别对于u0和v0,确定感兴趣的第一套刻的权重为如下:

此外,根据等式(15),分别对于u0和v0,确定感兴趣的第二套刻的权重为如下:

因此,如将理解的,这可以对于光瞳表示中的所有或基本上所有像素对重复,以便得到针对感兴趣的第一套刻的权重集合wi和/或得到针对感兴趣的第二套刻的权重集合wi。然后可以根据等式(4)将这些中的一个或两个应用于测量光学特性值,以得到针对相应的感兴趣的套刻的套刻值。当然,可以评估一个或多个其他感兴趣的套刻并且为它们确定一个或多个适当的权重集合。如将理解的,在一个实施例中,对于感兴趣的所有不同套刻的灵敏度(例如,雅可比矩阵)被包括在针对特定的感兴趣的套刻的权重定义中。

因此,例如对于具有4层(其中一层是参考层)的单位单元,其中在x和y方向上的每个层中的偏移可能引起对称性的变化(例如,引起不对称、或引起进一步的不对称、或引起不对称单位单元变得对称),然后可以产生6个向量(每个向量与不同的像素对相关联),6个向量包括针对每一层的x方向套刻向量和针对每一层的y方向套刻向量。因此可以有6个权重集合来导出相应的套刻。当然,如果其中一个向量是不感兴趣的,则不需要导出所有权重集合(但是在一个实施例中,对感兴趣的所有不同套刻的灵敏度(例如,雅可比矩阵)被包括在针对特定的感兴趣的套刻的权重定义中)。然后可以通过这些套刻中的两个或更多个套刻的适当数学组合来确定任何其他套刻。

如将理解的,单位单元中的层的一些偏移不会引起对称性的改变,并且因此不能从单位单元确定对应于该偏移的套刻。因此,很明显,没有针对这种偏移定义向量。因此,以图18为例,可以为该单位单元定义三个向量——一个用于x方向套刻、两个用于不同的y方向套刻。因此,可以确定当与测量的光学特性值组合时将给出在x方向上的套刻的权重集合。或者,可以确定当与测量的光学特性值组合时这些权重将给出y方向上的一个套刻的权重集合和/或可以确定当与测量的光学特性值组合时这些权重将给出y方向上的另一个套刻的权重集合。当然,可以确定所有三个权重集合或仅确定两个权重集合。

上面的讨论集中于由器件结构构成的对称单位单元的一个或多个实例所形成的目标。这样的目标可以通过由产品上(on-product)目标重定向的辐射的产品上测量而使得能够确定图案化工艺参数的产品上值。然而,如上所述,目标不必仅由器件结构组成。换句话说,可以提供非产品(non-product)目标,其结构并非独占地包括器件结构。例如,在一个实施例中,可以专门创建不用于形成器件而是仅仅用于测量的结构的目标。这样的目标可以例如被提供于远离器件的划片槽中(并且因此被提供于远离器件图案的器件图案化图案的一部分中)。在一个实施例中,目标可以被提供于器件图案中(并且因此被提供于图案化装置图案的器件图案的特征中)。在适当的情况下,非产品目标可以包括一个或多个器件结构和不用于形成器件而是仅仅用于测量的一个或多个专门创建的结构。

例如,如果正在为不能呈现对称单位单元实例的器件图案确定图案化工艺参数,则非产品目标可能是有用的。作为另一个示例,如果例如正在为不具有如上所述的可以给出图案化工艺参数的度量的对称单位单元的器件图案的一部分确定图案化工艺参数,则非产品目标可能是有用的。例如,可能存在如下情况:期望使用上述对称单位单元方法来确定用于蚀刻之后的套刻的结构,但是该套刻结构不具有对称性。例如,逻辑电路或结构具有各自能够引入可破坏结构对称性的不同套刻组件的许多处理层\步骤。例如,在逻辑电路的情况下,由于缺少逻辑电路结构的对称单位单元,通常不能对器件图案进行测量。

作为另一个示例,非产品目标可以与可以呈现对称单位单元实例的器件图案相关联地使用(并且即使单位单元可以给出感兴趣的所有图案化工艺参数的度量也是如此)。例如,这可以是器件图案复杂,其可能需要大量的计算时间。此外,器件图案可能呈现与不感兴趣的图案化工艺参数的信号的潜在串扰。作为示例,不同套刻组件的光瞳相关性可能很大,以至于不可能分离不同的套刻误差。

因此,非产品目标可以与具有针对光束斑点的对称单位单元的实例的器件图案一起使用,或者与不能呈现针对光束斑点的对称单位单元的实例的器件图案一起使用。

因此,在一个实施例中,可以将非产品目标设计成使得感兴趣的特定类型的图案化工艺参数(例如,套刻)破坏非产品目标的某种类型的(光瞳)对称性;这类似于上述技术。并且,虽然套刻将是讨论的焦点,如上面所讨论的那样,但是可以确定与套刻不同的一个或多个图案化工艺参数。

当然,对于非产品目标来给出图案化工艺参数的度量,非产品目标将遵循那些被认为是所关注的图案化工艺参数的主要贡献者的过程步骤。因此,如上所讨论的,如果例如在分开的图案化工艺中创建的两个结构之间的套刻是感兴趣的,那么非产品目标包括期望通过相同或可比较的过程并且在每个分开的图案化工艺中创建的结构。

此外,破坏某种类型的几何对称性(例如,y对称性)引起破坏了在光瞳域中相同类型的对称性。因此,可以针对特定类型的几何对称性设计非产品目标,使得对应的特定图案化工艺参数值引起对称性的破坏。例如,y对称性由x套刻破坏。此外,在多于一个方向上存在对称性的情况下,使用如下目标,使得能够监视引发的不对称性(根据相关的对称性的类型)以便一次确定一个图案化工艺参数(例如,套刻),该目标被设计为使得不同类型的图案化工艺参数(例如,诸如x中的套刻和y中的套刻之类的不同套刻类型)破坏不同类型的对称性。

非产品目标可以具有一个或多个优点。例如,与使用来自产品上目标的辐射的测量相比,非产品目标设计可以具有减小或最小化的光瞳相关性,并且因此,更容易根据测量的辐射确定感兴趣的图案化工艺参数。在一个实施例中,非产品目标设计可以减少或最小化在不同类型的相同图案化工艺参数之间或在不同种类的图案化工艺参数之间的串扰。因此,可以获得更清晰的信号。非产品目标设计可以具有测量用于如下器件图案的图案化工艺参数的优点:该器件图案针对光束斑点不具有对称单位单元的实例。因此,非产品目标设计可以使得能够将本文描述的测量和确定技术扩展到诸如在其中器件图案可以不具有有用对称单位单元的实例的逻辑存储器和/或高级存储器的应用。非产品目标设计可以具有相对简化的结构,这可以例如使其如本文所述更易于建模。这可以使其更容易从单个目标中分离和确定多于一个图案化工艺参数类型。此外,非产品目标设计可以被专门配置用于仅仅确定单个图案化工艺参数类型或确定图案化工艺参数类型的特定组合。

但是,非产品目标设计中的过度简化可能会去掉图案化工艺参数(例如套刻)的临界贡献者。为了降低这种风险,非产品目标设计应假定与器件产品图案基本相同的过程步骤。此外,应该识别对感兴趣的图案化工艺参数的主要贡献者,以便可以将它们考虑到非产品目标设计和/或相关联的建模中。

因此,与产品上目标设计类似,非产品目标设计的实施例根据包括具有几何对称性的结构的单位单元来定义。在一个实施例中,对称性可以在第一方向(例如,x方向)上、在第二正交方向(例如,y方向)上或在两个方向上。在一个实施例中,创建单位单元,使得单位单元中的结构的物理配置的变化引起对称性的破坏,其对称性的破坏导致特定的辐射分布,该特定的辐射分布可被处理以确定如上所述的感兴趣的图案化工艺参数的值。因此,单位单元有效地作为量测目标,并且在一个实施例中,包含用于提供信号以确定感兴趣的图案化工艺参数的最小结构区域。

在一个实施例中,非产品目标设计包括在至少两个图案化工艺中创建的结构(例如,相同类型的图案化工艺的至少两次执行、不同类型的图案化工艺的至少两次执行等)。在多个图案化工艺执行导致不同层中的结构、而针对该不同层中的结构正在确定感兴趣的图案化工艺参数的实施例中,非产品目标设计的单位单元包括来自感兴趣的多个层中的每个层的结构。在图案化工艺执行导致同一层中的多个结构、而针对该同一层的多个结构正在确定感兴趣的图案化工艺参数的实施例中,非产品目标设计的单位单元包括来自感兴趣的可应用的不同图案化工艺执行中的每个图案化工艺执行的结构。在一个实施例中,由第一图案化工艺创建的第一结构和/或由第二图案化工艺创建的第二结构不被用来创建器件图案的功能方面。

因此,在一个实施例中并且就单位单元而言,来自多个图案化工艺的结构一起形成单位单元的实例,并且单位单元在标称物理配置下具有几何对称性,其中单位单元具有如下特征:该特征在与标称物理配置不同的物理配置下引起单位单元中的不对称性,该不同的物理配置是由于例如第一图案化工艺、第二图案化工艺和/或另一图案化工艺中的图案放置中的相对偏移而导致的。这种特征的一个示例是响应于一层中的结构相对于另一层的结构的偏移而引起单位单元中的不对称性的特征。

在一个实施例中,非产品目标设计包括单位单元的重复。也就是说,在一个实施例中,非产品目标的物理实例上的光束斑点将照射填充光束斑点的单位单元的多个实例。在一个实施例中,非产品目标设计包括单位单元的至少4个实例、至少8个实例、至少10个实例、至少20个实例、至少40个实例、至少80个实例、至少100个实例、至少200个实例、至少400个实例、或至少1000个实例。

在一个实施例中,在衬底上产生的非产品目标具有小尺寸。例如,非产品目标可以具有100平方微米或更小、50平方微米或更小、或25平方微米或更小的面积。在一个实施例中,非产品目标具有10微米或更小、或5微米或更小的横向大小。在一个实施例中,针对非产品目标的光束斑点具有小于目标的最大横向大小的横向大小。在一个实施例中,针对非产品目标的光束斑点具有10微米或更小、5微米或更小、或2微米或更小的横向尺寸。在一个实施例中,针对非产品目标的光束斑点具有小于或等于100平方微米、50平方微米或更小、或25平方微米或更小的横截面积。在一个实施例中,非产品目标的单位单元具有250,000平方纳米或更小、150,000平方纳米或更小、100,000平方纳米或更小、或50,000平方纳米或更小的面积。在一个实施例中,非产品目标的单位单元具有500纳米或更小、300纳米或更小、200纳米或更小或150纳米或更小的横向大小。在一个实施例中,非产品目标的单位单元具有小于与非产品目标相关联的器件图案的单位单元的尺寸。

在一个实施例中,单位单元包括与使用第一图案化工艺制成的器件的特征(例如,结构、空隙(例如间隙)等)相对应的特征(例如,结构、空隙等)和与使用第二图案化工艺制成的器件的特征(例如,结构、空隙等)相对应的特征(例如,结构、空隙等)。例如,单位单元的结构由创建器件的对应器件特征的第一图案化工艺创建,并且单位单元的另一个结构由创建器件的对应器件特征的第二图案化工艺创建。在一个实施例中,在单位单元中创建的一个或多个特征共享器件中的特征的关键工艺步骤,而针对该器件正在使用单位单元特征来确定图案化工艺参数。在一个实施例中,由相应的对应图案化工艺创建的单位单元的特征对应于例如器件的在基本平行于单位单元的特征(例如,线条)的方向上延伸或伸长的一个或多个特征(例如,诸如线的结构)。因此,例如,单位单元包括沿y方向延伸的结构,可以被用来确定在y方向上延伸的器件中的对应结构的套刻。

在一个实施例中,如下面呈现的示例中进一步描述的,单位单元可以使得能够确定多个不同类型的相同图案化工艺参数(例如,套刻)。例如,单位单元使得能够确定2种或更多种类型的套刻、3种或更多种类型的套刻等。例如,除了在不同方向上(例如,在x和y上)的套刻类型之外,单位单元还可以使得能够确定在特征的不同组合之间和/或在各层的不同组合之间的套刻。

在一个实施例中,单位单元具有与器件的对应特征具有可比较尺寸(例如,宽度和/或节距)的特征。可比较尺寸意指与器件特征尺寸相同或在器件特征尺寸的±5%内(即器件特征尺寸的95%至105%)、在器件特征尺寸的±10%内、在器件特征尺寸的±15%内、在器件特征尺寸的±20%内、或在器件特征尺寸的±25%内。在一个实施例中,可以选择一个或多个单位单元特征的尺寸以改善测量信号,并且因此不匹配器件图案的特征的对应尺寸。例如,这可以通过评估信号输出对目标特征的尺寸变化的灵敏度来进行,并且因此可以在特定环境中选择尺寸以使信号最大化或提供满足或超过阈值的信号。

在一个实施例中,非产品目标可以与产品上目标结合使用。例如,可以使用非产品目标确定套刻,并且可以将结果前馈以使用产品上目标确定套刻。

参考图21,描绘了用于使用本文所描述的测量技术(例如,权重和光瞳分布)来确定图案化工艺参数的非产品目标设计的单位单元的非限制性示例。在这种情况下,单位单元用于确定套刻。在图21a中,描绘了单位单元2000的示例。单位单元2000包括在第一图案化工艺中创建的结构2010(在这种情况下为多条线2010)和在第二图案化工艺中创建的结构2020(在这种情况下为第二多条线2020)。锚2030被描绘以示出单位单元的对称性。在这种情况下,单位单元2000具有在y方向上的对称性。图21a示出了对称形式的单位单元,并且将对应于某个标称套刻值(例如,零套刻)。

在一个实施例中,结构2010对应于使用第一图案化工艺制成的器件的特征。也就是说,结构2010将由创建器件的对应器件特征第一图案化工艺创建。例如,结构2010的创建对应于器件中的结构的可比较创建。类似地,在一个实施例中,结构2020对应于使用第二图案化工艺制成的器件的特征。也就是说,结构2020将由创建器件的对应器件特征第二图案化工艺创建。例如,结构2020的创建对应于器件中的结构的可比较创建。因此,在一个实施例中,结构2010对应于例如器件的一个或多个特征(例如,诸如线的结构),其在基本平行于结构2010的特征(例如,线)的方向上延伸。类似地,结构2020对应于例如器件的一个或多个特征(例如,诸如线的结构),其在基本平行于结构2020的特征(例如,线)的方向上延伸。在一个实施例中,在与结构2020不同的层中创建结构2010。因此,在一个实施例中,在y方向上延伸的结构2010和2020可以被用来确定器件中的在y方向上延伸的对应结构的套刻。

如上所指出,在一个实施例中,结构2010和2020具有与器件的特征可比较的宽度和/或节距。例如,结构2010具有与在第一图案化工艺中创建的对应器件结构的特征可比较的宽度和/或节距。类似地,例如,结构2020具有与在第二图案化工艺中创建的对应器件结构的特征可比较的宽度和/或节距。

在单位单元2000中,对于单位单元2000中的结构的不同物理配置将引起对称性破坏的特征是结构2010和结构2020之间的物理差异。在一个实施例中,差异是结构2010和2020在x方向上的宽度差异,如图21a中示意性描绘的。在一个实施例中,差异是结构2010和2020的材料成分的差异,例如,结构2010由与2020结构不同的材料制成。在一个实施例中,可以存在物理差异的组合,例如宽度和物理成分上的差异。

在单位单元2000的情况下的物理差异的结果是:在结构2010和2020之间的x-y平面中的x方向上的相对偏移2040引起单位单元2000中的不对称性。这在图21b中被描绘。在图21b中,当在第二图案化工艺中创建结构2010时,结构2010从图21a中所示的结构2010的标称(例如,设计)位置偏移。结果是从锚2030的位移2050。因此,假设单位单元2000对应于没有套刻的情况,则位移2050对应于套刻,期望地通过处理由包括如上所述的单位单元2000的目标重定向的辐射(例如,权重和光瞳分布)来确定该套刻。

由于单位单元2000相对于y轴显示出不对称性,因此结合该不对称性引起的特征的在x方向上的平移(这里是结构2010和2020之间的物理差异)产生了可以从中确定x套刻值的辐射分布。在一个实施例中,该x套刻值将对应于使用相应的图案化工艺制成的器件的特征的x套刻。当然,现在,单位单元2000可以围绕锚2030有效地旋转90度,以给出针对结构2010和2020之间的在y方向上的相对偏移的y套刻值。在一个实施例中,该y套刻值将对应于使用相应的图案化工艺制成的器件的特征的y套刻。在一个实施例中,在那种情况下,对应于结构2010和2020的器件特征将在x方向上延伸。

因此,在一个实施例中,单位单元的结构2010和2020对应于器件的沿相同方向延伸的相应特征。结果,单位单元2000的结构可以产生在与器件的特征的延伸/伸长方向正交的方向上的套刻的值。因此,通过识别在相同方向上延伸的器件特征,其中正交方向上的套刻是感兴趣的,可以将单位单元2000设计成通过适当选择结构2010和2020并且利用器件特征的创建来引起结构2010和2020的创建从而模仿该套刻。

在图21中,单位单元2000被设计成主要确定结构(例如线)本身的形成之间的套刻。在一些图案化工艺中,将特定图案转移到具有如下结构的衬底上:使得当关于该图案执行蚀刻时,结构的一部分被移除。该过程及其结果在本文中将被称切口。例如,可以将器件结构(例如,线)切割成多片和/或可以切掉器件结构的端部部分。如将理解的,可能期望知道是否已经准确地进行了切割。因此,可能期望知道切口之间的套刻和/或切口和结构之间的套刻。

此外,图21的单位单元使得能够确定在与器件的特征的延伸/伸长方向正交的方向上的套刻值。但是,可能期望确定在与器件的特征的延伸/伸长方向平行的方向上的套刻。

现在参考图22,描绘了用于使用本文所描述的测量技术(例如,权重和光瞳分布)来确定图案化工艺参数的非产品目标设计的单位单元的非限制性示例。在这种情况下,单位单元用于确定套刻。在图22a中,描绘了单位单元2100的示例。单位单元2100包括结构2110(在这种情况下为多条线2110)和结构2120(在这种情况下为第二多条线2120)。如下面将更详细描述的,在该实施例中,可以从该非产品目标设计确定x和y中的套刻。

在这里的实施例中,单位单元2100具有图21的单位单元2000的特征,并且因此如果在第一图案化工艺中创建结构2110并且在第二图案化工艺中创建结构2120,并且结构2110和2120之间存在物理差异,则使得能够如上所述地确定x方向套刻。然而,如果例如不期望x方向套刻,那么可以在相同的图案化工艺中创建结构2110和2120,以及/或者结构2110和2120可以具有相同的物理特性,即,没有物理差异。但是,即使不期望x方向套刻,结构2110和2120也可以具有不同的物理特性以提供更好的测量信号。

因此,在使得能够确定x中和y中的套刻的该实施例中,单位单元2100包括在第一图案化工艺中创建的结构2110和在第二图案化工艺中创建的结构2120。锚2130被描绘以示出单位单元的对称性。在这种情况下,单位单元2100具有在y方向上的对称性和在x方向上的对称性。图22a示出了对称形式的单位单元,并且将对应于某个标称套刻值(例如,零套刻)。

在一个实施例中,如上所述,结构2110对应于使用第一图案化工艺制成的器件的特征,并且结构2120对应于使用第二图案化工艺制成的器件的特征。并且,在单位单元2100中,对于单位单元2100中的结构的不同物理配置将引起对称性破坏的特征是结构2110和结构2120之间的物理差异。在一个实施例中,差异是结构2110和2120在x方向上的宽度差异,如图22a中示意性描绘的。在一个实施例中,差异是结构2110和2120的材料成分的差异,例如,结构2110由与结构2120不同的材料制成。

如上所讨论的,在单位单元2100的情况下的物理差异的结果是:在结构2110和2120之间的x-y平面中的x方向上的相对偏移2180引起单位单元2100中的不对称性。这在图22c中被描绘。在图22c中,当在第二图案化工艺中创建结构2110时,结构2110从图22a中所示的结构2110的标称(例如,设计)位置偏移。结果是从锚2130的位移2190。因此,假设单位单元2100对应于没有套刻的情况,则位移2190对应于套刻,期望通过处理由包括如上所述的单位单元2100的目标重定向的辐射(例如,权重和光瞳分布)来确定该套刻。

由于单位单元2100相对于y轴显示出不对称性,因此结合该不对称性引起的特征的在x方向上的平移(这里是结构2110和2120之间的物理差异)产生了可以从中确定x套刻值的辐射分布。在一个实施例中,该x套刻值将对应于使用相应的图案化工艺制作的器件的特征的x套刻。现在,当然,单位单元2100可以围绕锚2130有效地旋转90度,以给出针对结构2110和2120之间的y方向上的相对偏移的y套刻值。在一个实施例中,该y套刻值将对应于使用相应的图案化工艺制成的器件的特征的y套刻。在一个实施例中,在那种情况下,对应于结构2110和2120的器件特征将在x方向上延伸。

现在,单位单元2100还能够确定y方向上的套刻。类似于非产品目标的单位单元中的结构可以如何对应于器件中的特征,非产品目标设计中的切口可以对应于器件中的特征(例如,切口)。

参考图22a,单位单元2100包括在第一图案化工艺中创建的切口2150和在第二图案化工艺中创建的切口2140。布置切口2150和2140以便保持标称物理配置中的单位单元中的对称性。

在一个实施例中,切口2150对应于使用第一图案化工艺制成的器件的特征。也就是说,切口2150将由创建器件的对应器件特征的第一图案化工艺创建。例如,切口2150的创建对应于器件中的切口的可比较创建。类似地,在一个实施例中,切口2140对应于使用第二图案化工艺制成的器件的特征。也就是说,切口2140将由创建器件的对应器件特征的第二图案化工艺创建。例如,切口2140的创建对应于器件中的切口的可比较创建。所以,在一个实施例中,切口2150对应于例如器件的在基本平行于切口2150的方向上延伸的一个或多个特征(例如,一个或多个切口)。类似地,切口2140对应于例如器件的在基本平行于切口2140的方向上延伸的一个或多个特征(例如,一个或多个切口)。在一个实施例中,在与切口2140不同的层中形成切口2150。因此,在一个实施例中,切口2150和2140可以被用来确定器件中的对应切口在y方向上的套刻。

在一个实施例中,切口2150和2140具有与器件的特征可比较的宽度和/或节距。例如,切口2150具有与在第一图案化工艺中创建的对应器件结构的特征(例如,一个或多个切口)可比较的宽度和/或节距。类似地,例如,切口2140具有与在第二图案化工艺中创建的对应器件结构的特征(例如,一个或多个切口)可比较的宽度和/或节距。

在单位单元2100中,对于单位单元2100中的结构的不同物理配置将引起对称性破坏的特征是切口2150和2140的布置,该布置将在切口2150和2140之间的相对偏移后创建不对称性。在一个实施例中,在每个结构2120中制成切口2140,而并不在每个结构2110中制成切口2150。如将理解的,可以在每个结构2110中制成切口2150,而并不在每个结构2120中制成切口2140。如将理解的,就切口而言,包括切口的不同位置和/或切口的不同大小,许多不同的变化是可能的。

切口2150和2140的布置的结果是:切口2150和2140之间的x-y平面中的y方向上的相对偏移2160引起单位单元2100中的不对称性。这在图22b中被描绘。在图22b中,当在第一图案化工艺中创建切口2150时,切口2150从图22a中所示的其标称(例如,设计)位置偏移。结果是从锚2130的位移2170。因此,假设单位单元2100对应于没有套刻的情况,则位移2170对应于套刻,期望通过处理由包括如上所述的单位单元2100的目标重定向的辐射(例如,权重和光瞳分布)来确定该套刻。

由于单位单元2100相对于x轴显示出不对称性,因此结合该不对称性引起的特征的在y方向上的平移(这里是切口2140和2150的布置)产生了可以从中确定y套刻值的辐射分布。在一个实施例中,该y套刻值将对应于使用相应的图案化工艺制成的器件的特征的y套刻。现在,当然,单位单元2100可以围绕锚2130有效地旋转90度,以给出针对切口2140和2150之间的x方向上的相对偏移的x-套刻值。在一个实施例中,该x套刻值将对应于使用相应的图案化工艺制成的器件的特征(例如,切口)的x套刻。在一个实施例中,在那种情况下,对应于切口2140和2150的器件特征(例如,切口)将在x方向上延伸。

因此,在一个实施例中,单位单元的切口2140和2150对应于沿相同方向延伸的器件的相应特征。结果,单位单元2100的结构可以产生在平行于器件的特征的延伸/伸长方向的方向上的套刻值。因此,通过识别在相同方向上延伸的器件特征,其中平行方向上的套刻是感兴趣的,可以将单位单元2100设计成通过适当选择切口2140和2150并且利用器件特征的创建来引起切口2140和2150的创建从而来模仿该套刻。

如上所指出,在一个实施例中,可以以与在器件特征中制成切口类似的方式在结构2110和2120中产生切口2140和2150。因此,切口2140和2150可以给出在产生器件结构时所制成的切口的套刻的良好测量。但是,在一个实施例中,切口2140和2150可以改为是在创建结构2110和2120时所创建的空隙,并且可以作为用于创建器件的结构的对应图案化工艺的一部分而被创建。因此,在这种情况下,空隙2140和2150可以给出对在创建器件时所制成的结构的套刻的良好测量。

并且,尽管图22示出了促进套刻确定的切口/空隙,但是结构2110和2120可以具有一个或多个突起或变形,例如,在所描绘的切口的位置处的突起。因此,这些突起或变形之间的相对位移可能引起单位单元中的不对称性,这与切口2140和2150非常相似。当通过切口过程创建或产生结构2110和2120时,可以创建突起或变形。因此,突起或变形可以被用来促进例如器件结构之间(例如,针对在创建结构2110和2120时所创建的突起或变形)或器件切口之间(例如,针对通过切割结构2110和2120创建的突起或变形)的套刻的确定。

图22d示意性地描绘了包括单位单元的多个实例的非产品目标。在该非限制性示例中,图22d包括单位单元的至少4个实例。图22d示出了对称形式的单位单元的实例,并且将对应于某个标称套刻值(例如,零套刻)。在一个实施例中,结构2110的节距2192与结构2120的节距2194是可比较的。

在图22d中,如果例如第二图案化工艺在x方向上没有很好地对准,引起结构2110和2120之间的相对偏移,则y对称性被破坏,并且因此光瞳中的y对称性也被破坏。因此,在该条件下对目标的测量可以被转译为x套刻确定。有效地,结构2110和2120被用来确定x套刻。类似地,如果例如第二图案化工艺在y方向上没有很好地对准,引起切口2140和2150之间的相对偏移,则x对称性被破坏,并且光瞳中的x对称性也被破坏。因此,在该条件下对目标的测量可以被转译为y套刻确定。有效地,切口2140和2150被用来确定y套刻。此外,如在图22d中所见,切口在y方向上的偏移没有改变相对于y轴的对称性,并且结构在x方向上的偏移没有改变相对于x轴的对称性。因此,x方向套刻和y方向套刻是解耦合的。因此,虽然在x方向和y方向上不良对准的图案化工艺的组合导致被破坏的x对称性和y对称性,但是不同的套刻可以与信号分离。

在一个实施例中,结构的数量及其大小、节距等可以被配置为与器件图案的图案化工艺紧密可比较。类似地,切口(或突起/变形)的数量及其大小、节距等可以被配置为与器件图案的图案化工艺紧密可比较。例如,在可能时,切口将与器件中使用的cd和节距可比较。但是,在一个实施例中,切口的位置和/或数量被适配为制成对称的单位单元。此外,可以通过适配结构和切口(或突起/变形)的节距来定制非产品目标套刻灵敏度。

参考图23,描绘了用于使用本文所描述的测量技术(例如,权重和光瞳分布)来确定图案化工艺参数的非产品目标设计的单位单元的非限制性示例。在这种情况下,单位单元用于确定套刻。在图23a中,描绘了单位单元2300的示例。单位单元2300包括结构2310(在这种情况下为多条线2310)和结构2320(在这种情况下为第二多条线2320)。与图21和图22不同,结构2310在基本垂直于结构2320的方向上延伸。锚2340被描绘以示出单位单元的对称性。在这种情况下,单位单元2300具有在y方向上的对称性。图23a示出了对称形式的单位单元,并且将对应于某个标称套刻值(例如,零套刻)。

因此,在单位单元2300的该实施例中,可以根据来自该单位单元的所重定向的辐射,来确定在第一方向上延伸的结构和在基本上垂直于第一方向的第二方向上延伸的切口或结构之间的、在x方向上的套刻。

特别地,类似于上述原理,单位单元2300包括在第一图案化工艺中产生的结构2310,并且包括在第二图案化工艺中创建的切口2330和/或结构2320。例如,在期望结构2310和切口2330之间的套刻的情况下,那么在第一图案化工艺中创建结构2310,并且在第二图案化工艺中制成切口2330(可选地,结构2320也在第二图案化工艺中被创建)。例如,在期望结构2310和2320之间的套刻的情况下,那么在第一图案化工艺中创建结构2310,并且在第二图案化工艺中创建结构2320,结构2320具有例如与切口2330可比较的空隙。结构2310和2320以及切口2330被布置成使得保持标称物理配置中的单位单元中的对称性。

类似于上述实施例,结构2310对应于使用第一图案化工艺制成的器件的特征。也就是说,结构2310将通过创建器件的对应器件特征的第一图案化工艺来创建。例如,结构2310的创建对应于器件中的结构的可比较创建。类似地,在一个实施例中,结构2320和/或切口2330对应于使用第二图案化工艺制成的器件的特征。也就是说,结构2320和/或切口2330将通过用于创建器件的对应器件特征的第二图案化工艺来创建。例如,切口2330的创建对应于器件中的切口的可比较创建。因此,在一个实施例中,结构2310对应于例如器件的一个或多个特征(例如,一个或多个结构),其在基本平行于结构2310的第一方向上延伸。类似地,结构2320和/或切口2330对应于例如器件的一个或多个特征,该器件的一个或多个特征在实质上垂直于第一方向的第二方向上延伸。在一个实施例中,在与结构2310不同的层中创建结构2320和/或切口2330。因此,在一个实施例中,切口2330(或与结构2320的切口可比较的空隙)可以被用来确定器件中的对应特征在x方向上的套刻。

在一个实施例中,结构2310和结构2320和/或切口2330具有与器件的特征可比较的宽度和/或节距。例如,结构2310具有与在第一图案化工艺中创建的相应器件结构的特征(例如,一个或多个结构)可比较的宽度和/或节距。类似地,例如,结构2320和/或切口2330具有与在第二图案化工艺中创建的相应器件结构的特征可比较的宽度和/或节距。

在单位单元2300中,对于单位单元2300中的结构的不同物理配置将引起对称性破坏的特征是切口2330(或结构2320中的类似空隙)的布置,该布置将在结构2310和切口2330之间(或在结构2310和2320之间)的相对偏移后创建不对称性。如将理解的,就切口/空隙而言,包括切口/空隙的不同位置和/或切口/空隙的不同大小,许多不同的变化是可能的。

切口2330(或空隙2330)与基本上垂直的结构2310和2320相结合的布置的结果是:在结构2310和切口2330之间(或在结构2310和2320之间,其中存在空隙)的x-y平面中的x方向上的相对偏移2350引起单位单元2300中的不对称性。这在图23b中被描绘。在图23b中,当在第二图案化工艺中创建切口2330时,切口2330从图23a中所示的其标称(例如,设计)位置偏移。结果是从锚2340的位移2360。因此,假设单位单元2300对应于没有套刻的情况,则位移2360对应于套刻,期望通过处理由包括如上所述的单位单元2300的目标重定向的辐射(例如,权重和光瞳分布)来确定该套刻。

由于单位单元2300相对于y轴显示出不对称性,因此结合该不对称性引起的特征的x方向上的平移(这里是与基本上垂直的结构2310和2320相结合的切口2330(或空隙2330)的布置)产生了可以从中确定x套刻值的辐射分布。在一个实施例中,该x套刻值将对应于使用相应的图案化工艺制成的器件的特征的x套刻。

因此,在一个实施例中,单位单元的结构2310和结构2320和/或切口2330对应于沿相同方向延伸的器件的相应特征。结果,单位单元2300的结构可以产生对于在垂直方向上延伸或伸长的特征的套刻值。因此,通过识别在正交方向上延伸的器件特征,其中某个方向上的套刻是感兴趣的,可以将单位单元2300设计成通过适当选择与正交结构2310和2320相关的切口2330(或空隙2330)并且利用器件特征的创建来引起它们的创建从而模仿该套刻。

并且,尽管图23示出了促进确定套刻的切口/空隙,但是结构2310和2320可以具有一个或多个突起或变形,例如,在所描绘的切口的位置处的突起。因此,这种突起或变形之间的相对位移可能引起单位单元的不对称性,这与切口2330非常相似。当通过切割过程创建或产生结构2310和2320时,可以创建突起或变形。因此,突起或变形可以被用来促进例如器件结构之间(例如,针对创建结构2310和2320时所创建的突起或变形)或切口和结构之间的套刻的确定。

现在,当然,单位单元2300可以围绕锚2340有效地旋转90度,以给出结构2310与结构2320和/或切口2330之间的在y方向上的相对偏移的y套刻值。在一个实施例中,该y套刻值将对应于使用相应的图案化工艺制成的器件的特征的y套刻。

参考图24,描绘了用于使用本文所描述的测量技术(例如,权重和光瞳分布)来确定图案化工艺参数的非产品目标设计的单位单元的非限制性示例。在这种情况下,单位单元用于确定套刻。在图24a中,描绘了单位单元2400的示例。单位单元2400包括结构2410(在这种情况下为多条线2410)和结构2420(在这种情况下为第二多条线2420)。结构2410在基本垂直于结构2420的方向上延伸。锚2440被描绘以示出单位单元的对称性。在这种情况下,单位单元2400具有在x方向上的对称性。图24a示出了对称形式的单位单元,并且将对应于某个标称套刻值(例如,零套刻)。

因此,在单位单元2400的该实施例中,可以根据来自该单位单元的重定向的辐射,来确定在第一方向上延伸的结构和在基本上垂直于第一方向的第二方向上延伸的切口或结构之间的、在y方向上的套刻。

图24实际上是图23的反向布置。尽管图23被设计用于确定x方向套刻,但是图24被设计用于确定y方向套刻;然而,与图23类似,图24的单位单元可以被旋转90度以确定x方向套刻。但是,与图23不同,在图24的实施例中,在与第二图案化工艺可比较的第一图案化工艺中创建切口2430(或所产生的结构2410的空隙2430)。

因此,在单位单元2400中,对于单位单元2400中的结构的不同物理配置将引起对称性破坏的特征是切口2430(或结构2410中的可比较的空隙)的布置,该布置将在切口2430和结构2420之间(或结构2410和2420之间)的相对位移后创建不对称性。如将理解的,就切口/空隙而言,包括切口/空隙的不同位置和/或切口/空隙的不同大小,许多不同的变化是可能的。

切口2430(或空隙2430)与基本上垂直的结构2410和2420的组合的布置的结果是:在切口2430和结构2420之间(或在结构2410和2420之间,其中存在空隙)的x-y平面中的y方向上的相对偏移2450引起单位单元2400中的不对称性。这在图24b中被描绘。在图24b中,当在第一图案化工艺中创建切口2430时,切口2430从图24a中所示的其标称(例如,设计)位置偏移。结果是从锚2440的位移2460。因此,假设单位单元2300对应于没有套刻的情况,则位移2460对应于套刻,通过处理由包括如上所述的单位单元2400的目标重定向的辐射(例如,权重和光瞳分布)来确定该套刻。

由于单位单元2400相对于x轴显示出不对称性,因此结合该不对称性引起的特征的y方向上的平移(这里是与基本上垂直的结构2410和2420相结合的切口2430(或空隙2430)的布置)产生了可以从中确定y套刻值的辐射分布。在一个实施例中,该y套刻值将对应于使用相应的图案化工艺制成的器件的特征的y套刻。

并且,尽管图24示出了促进套刻确定的切口/空隙,但是结构2410和2420可以具有一个或多个突起或变形,例如,在所描绘的切口的位置处的突起。因此,这种突起或变形之间的相对位移可能引起单位单元的不对称性,这与切口2430非常相似。当通过切割过程创建或产生结构2410和2420时,可以创建突起或变形。因此,突起或变形可以被用来促进例如器件结构之间(例如,针对在产生结构2410和2420时所产生的突起或变形)或在切口和结构之间的套刻的确定。

现在,当然,单位单元2400可以围绕锚2440有效地旋转90度,以给出结构2410和/或切口2430与结构2420之间的在x方向上的相对偏移的x套刻值。在一个实施例中,该x套刻值将对应于使用相应的图案化工艺制成的器件的特征的x套刻。

参考图25,描绘了用于使用本文所描述的测量技术(例如,权重和光瞳分布)来确定图案化工艺参数的非产品目标设计的单位单元的非限制性示例。在这种情况下,单位单元用于确定套刻。在图25a中,描绘了单位单元2500的示例。单位单元2500包括在第一图案化工艺中创建的结构2510(在这种情况下为多条线2510)和在第二图案化工艺中创建的结构2520(在这种情况下为第二多条线2520)。结构2510在基本平行于结构2520的方向上延伸。锚2530被描绘以示出单位单元的对称性。在这种情况下,单位单元2500具有在y方向上的对称性。图25a示出了对称形式的单位单元,并且将对应于某个标称套刻值(例如,零套刻)。

图25的非产品目标设计与图21的非产品目标设计可比较。不同之处在于,与图20中提供的中心线2010相比,未提供中心线2510。这意味着单位单元2500和非产品目标涉及比图20更少的结构,这可以例如改进相关的建模。然而,这可涉及与器件中的对应特征不同的线中的节距,例如,针对结构的线2520的节距可能需要不同于器件中可比较的线的节距。

在一个实施例中,结构2510包括至少两个子结构(例如,线状结构)。可替代地或另外地,结构2520包括至少两个子结构(例如,线状结构)。这用于实现足够的信号。该原理可以应用于本文所描述的其他实施例。

与图21类似,引起对称性破坏的特征是结构2510和2520之间的物理差异,该物理差异在所描绘的实施例中是结构2510和2520的宽度差异。并且因此,与图21类似并且如图25b中所示,结构2510和2520之间的相对偏移2540导致y方向上的对称性的破坏。对称性的破坏导致特定辐射分布,该特定辐射分布使得能够确定相对位移2550。相对位移2550可以对应于对应器件特征的x方向套刻。

参考图26,描绘了用于使用本文所描述的测量技术(例如,权重和光瞳分布)来确定图案化工艺参数的非产品目标设计的单位单元的非限制性示例。在这种情况下,单位单元用于确定套刻。在图26a中,描绘了单位单元2600的示例。单位单元2600包括在第一图案化工艺中创建的结构2610(在这种情况下为多条线2610)和在第二图案化工艺中创建的结构2620(在这种情况下为第二多条线2620)。结构2610在基本平行于结构2620的方向上延伸。此外,结构2610包括通过图案化工艺创建的切口2630,并且结构2620包括通过图案化工艺创建的切口2640。锚2650被描绘以示出单位单元的对称性。在这种情况下,单位单元2600具有在y方向上的对称性以及在x方向上的对称性。图26a示出了对称形式的单位单元,并且将对应于某个标称套刻值(例如,零套刻)。

图26的非产品目标设计在布局上以及就能够被用来确定x和y方向上的套刻而言与图22的非产品目标设计是可比较的。不同之处在于,与图20中提供的中心线2010相比,未提供中心线2610。这意味着单位单元2600和非产品目标涉及比图20更少的结构,这可以例如改进相关的建模。此外,切口2630和2640具有与图22中不同的布置。切口的布置是为了提供不对称性,但是当存在涉及切口的相对位移时也能够实现对称性的破坏。

由于图26的这种不同布置,该设计可涉及与器件中的对应特征不同的线中的节距,例如,针对结构2620的线的节距可能需要不同于器件中的可比较的线的节距。

与图22类似,引起对称性破坏的特征是结构2610和2620之间的物理差异,该物理差异在所描绘的实施例中是结构2610和2620的宽度差异。并且因此,与图22类似并且如图26c中所示,结构2610和2620之间的相对偏移2670导致y方向上的对称性破坏。对称性破坏导致特定辐射分布,特定辐射分布使得能够确定相对位移2680。相对位移2680可以对应于相应器件特征的x方向套刻。

此外,与图22类似,引起对称性破坏的特征是切口2630和2640的布置。并且因此,与图22类似并且如图26b中所示,切口2630和2640之间的相对偏移2650导致x方向上的对称性破坏。对称性的破坏导致特定辐射分布,特定辐射分布使得能够确定相对位移2660。相对位移2660可以对应于相应器件特征的y方向套刻。

参考图27,描绘了用于使用本文所描述的测量技术(例如,权重和光瞳分布)来确定图案化工艺参数的非产品目标设计的单位单元的非限制性示例。在这种情况下,单位单元用于确定套刻。在图27a中,描绘了单位单元2700的示例。单位单元2700包括在第一图案化工艺中创建的结构2710(在这种情况下为多条线2710),在第二图案化工艺中创建的结构2720(在这种情况下为第二多条线2720),以及在第三图案化工艺中创建的结构2730(在这种情况下为第三多条线2730)。结构2710在基本平行于结构2720的方向上延伸。此外,结构2730在基本垂直于结构2710和2720的方向上延伸。此外,结构2710包括通过图案化工艺创建的切口2740和通过图案化工艺创建的切口2750。锚2750被描绘以示出单位单元的对称性。在这种情况下,单位单元2700具有在y方向上的对称性以及在x方向上的对称性。图27a示出了对称形式的单位单元,并且对应于某个标称套刻值(例如,零套刻)。

图27的非产品目标设计在布局上以及就能够被用来确定x和y方向上的套刻而言与图22的非产品目标设计是可比较的。不同之处在于在第三图案化工艺中提供另外的结构2730。

由于这种布置,该非产品目标可以例如确定在器件的多于2层上的特征之间的套刻;例如,该非产品目标可以使得能够确定器件的第一层中的特征与器件的第二层中的特征之间的套刻以及器件的第一层中的特征与器件的第三层中的特征之间的套刻。

例如,如关于图22所描述的,结构2710和2720之间的在x方向上的偏移可以使得能够确定对应于结构2710和2720的器件特征之间的x方向套刻。

但是,除了图22的布置之外,切口2750和结构2730之间的在y方向上的偏移可以使得能够确定对应于切口2750和结构2730的器件特征之间的y方向套刻。并且,在该实施例中,结构2730可以位于与结构2710和2720不同的层中。

引起关于结构2730的对称性破坏的特征是切口2750相对于结构2730的布置。因此,如图27b中所示,结构2730和切口2750之间的相对偏移2760导致x方向上的对称性的破坏。对称性的破坏导致特定辐射分布,该特定辐射分布使得能够确定相对位移2770。相对位移2670可以对应于对应器件特征的y方向套刻。

因此,图27表示使得能够测量3个不同工艺步骤之间的套刻的组合目标。例如,该目标实现了第一层特征到第二层特征套刻测量(在x方向上)和第一层特征到第三层特征套刻测量(在y方向上)。当然,在一个实施例中,图27的目标可以被分成离散的目标(例如,具有用于第一层特征到第二层特征套刻测量的结构2710和2720和切口2740的目标,以及具有用于第一层特征到第三层特征套刻测量的结构2710和2730和的切口2740的另一个目标),以向每一层对提供一个目标而不是提供如图27中所示的组合目标。

参考图28,描绘了用于使用本文所描述的测量技术(例如,权重和光瞳分布)来确定图案化工艺参数的非产品目标设计的单位单元的非限制性示例。在这种情况下,单位单元用于确定套刻。在图28a中,描绘了单位单元2800的示例。单位单元2800包括在第一图案化工艺中创建的结构2810(在这种情况下为多个闭合曲线2810,例如,基本上为圆形或椭圆形)和在第二图案化工艺中创建的结构2820(在这种情况下为第二多个闭合曲线2820,例如,基本上是圆形或椭圆形)。结构2810在基本平行于结构2820的方向上延伸。在这种情况下,单位单元2800具有在y方向上的对称性和在x方向上的对称性。图28a示出了对称形式的单位单元,并且对应于某个标称套刻值(例如,零套刻)。

在这种布置中,如图28b中所示,结构2810和2820之间的相对偏移2830引起y方向上的对称性的破坏。对称性的破坏导致特定辐射分布,该特定辐射分布使得能够确定相对位移2840。相对位移2840可以对应于对应器件特征的x方向套刻。

此外,在该布置中,如图28c中所示,结构2810和2820之间的相对偏移2850引起x方向上的对称性的破坏。对称性的破坏导致特定辐射分布,该特定辐射分布使得能够确定相对位移2860。相对位移2860可以对应于对应器件特征的y方向套刻。

引起对称性破坏的特征是结构2810相对于结构2820的交错布置。虽然在该实施例中,结构2810被描绘为具有与结构2820不同的宽度,但是在结构2810和2820处于如图所示的交错布置的情况下不需要具有这样的差异。相反,如果结构2810和2820不是交错布置,则可以使用物理差异(例如,不同的宽度、不同的材料等)来破坏对称性。

来自图21至图28的特征的不同组合可以组合成单位单元,以使得能够确定多种类型的参数(例如,x方向套刻和y方向套刻、器件的特征的不同组合之间的套刻等)。在一个实施例中,可以针对单个类型的参数创建单独的目标(例如,用于x方向套刻的目标和用于y方向套刻的单独目标,用于第一特征组合之间的套刻的目标和用于第二特征组合之间的套刻的另一个目标),或者可以创建多个目标来确定参数类型的组合。

现在参考图29,图29a示意性地描绘了器件图案特征的示例。例如,器件图案特征可以用于存储器器件(例如sram)。如将理解的,完整的存储器器件将可能在所描绘的区域中具有更多的特征。然而,可能期望确定图29a中描绘的器件图案特征的特定组合的套刻。这种套刻可以被用于图案化工艺控制、图案化工艺中的缺陷预测等,如本文更详细讨论的。

在图29a中,器件图案包括基本上彼此平行延伸的多个线特征2900。此外,器件图案包括多个线特征2910,所述线特征2910基本上彼此平行地延伸并且与线特征2900交错。在如下文进一步描述的多图案化工艺的示例实施例中,首先创建特征2900,然后由于例如分辨率限制而在之后创建特征2910。

此外,期望具有例如沿着线特征2900的多个分段。因此,在多图案化工艺中,可以通过如上所述的切口来创建这样的分段。因此,器件图案包括相对于线特征2900的多个切口特征2920。此外,器件图案包括相对于线特征2910的多个切口特征2930。

然后可以通过多个光刻(le)工艺来创建器件图案特征。图29b、图29c、图29d和图29e示意性地描绘了器件多图案化方法的步骤的示例。在图29b中,产生了多个线特征2900。然后,在图29c中,将切口2920应用于特征2900以产生分段的线特征2900,如图29a中所示。

在图29d中,产生多个线特征2910,其中在线特征2900之间以交错的方式产生多个线特征2910。然后,在图29e中,将切口2930应用于特征2910以产生分段的线特征2910,如图29a中所示。

因此,可能期望确定切口2920和切口2930的创建之间的套刻。或者,可能期望确定结构2900和2910之间的套刻。因此,如将理解的,可以存在各种不同的套刻,这些套刻可以理想地被确定并且然后被监视、控制等。

因此,识别感兴趣的层并识别应该确定的套刻(例如,在x方向上的套刻、在y方向上的套刻、或在x和y方向上的套刻)。在该示例中,可能期望确定结构2900和2910之间的x方向套刻并确定切口2920和2930之间的y方向套刻。

因此,在器件内具有感兴趣的一个或多个特定套刻的情况下,可以设计非产品目标以帮助确定该套刻。在图29a的器件特征的情况下,可以产生具有与感兴趣的层可比较的节距和cd的结构的线空间图案。在图29f中示意性地描绘了非产品目标设计的这种结构的示例。在这种情况下,例如,将在与创建结构2900相同的图案化工艺中创建结构2940,并且将在与结构2910相同的图案化工艺中创建结构2950。如上面关于图21至图28所讨论的,可以在结构2940和2950之间提供物理差异,以在产生结构2940和2950时实现在x方向上的相对偏移以引起对称性破坏从而实现x方向套刻确定。由于结构2940和2950有效地用作针对结构2900和2910的代理,因此在该条件下由非产品目标重定向的辐射确定在x方向上在结构2940和2950之间的相对位移可以对应于针对结构2900和2910的x方向套刻。

此外,参考图29g,在非产品目标设计中将一个或多个切口引入图29f的结构,以实现y方向套刻的确定。为了实现这一点,定义了单位单元2960。如所见,单位单元具有结构2940和2950并且具有y对称性,该y对称性被结构2940和2950在x方向上的相对位移所破坏。因此,为了实现y方向套刻的确定,引入特征以在y方向上存在相对位移时创建在x方向上的不对称性。如上所指出,确定切口2920和2930之间的在y方向上的套刻是期望的。因此,可比较的切口分别被引入到结构2940和2950,因为切口2920和2930分别去除了结构2900和2910的部分。在该实施例中,那些切口是切口2970和2980。切口2970和2980创建了用以确定由于切口2970和2980在其创建期间的相对偏移而引起的y方向套刻的参考。切口2970和2980有效地用作针对切口2920和2930的代理,并且因此在该条件下由非产品目标重定向的辐射确定在y方向上在切口2970和2980之间的相对位移,这可以对应于针对切口2920和2930的y方向套刻。

在一个实施例中,切口2970和2980使得单位单元在标称配置下在x方向上是对称的。此外,在一个实施例中,切口使得它们在如上所述的x套刻确定方面不影响单位单元的对称性。在一个实施例中,切口2970和2980在可能的情况下具有与器件图案化工艺中的切口可比较的cd和节距。然而,切口的大小、数量和位置可以进行适配以制成对称的单位单元。在一个实施例中,如图29g中所示,单位单元作为多个实例而进行重复,以形成用于在衬底上创建的非产品目标。

因此,在该实施例中,在标称配置下,单位单元2960具有x对称性和y对称性二者。此外,特征之间的在y方向上的相对偏移导致单位单元中的x对称性的破坏(同时保持y对称性),从而可以确定y方向套刻。此外,特征之间的在x方向上的相对偏移导致单位单元中的y对称性的破坏(同时保持x对称性),从而可以确定x方向套刻。

现在参考图30,图30a示意性地描绘了器件图案特征的另一个示例。例如,器件图案特征可以用于存储器器件(例如sram)。如将理解的,完整的存储器器件可能在所描绘的区域中具有更多的特征。然而,可能期望确定图30a中描绘的器件图案特征的特定组合的套刻。这种套刻可以用于图案化工艺控制、图案化工艺中的缺陷预测等,如本文更详细讨论的。

在图30a中,器件图案包括基本上彼此平行延伸的多个线特征3000。此外,器件图案包括多个线特征3010,所述线特征3010基本上彼此平行地延伸并且基本垂直于线特征3000。在如下文进一步描述的多图案化工艺的示例实施例中,首先创建特征3010,然后创建特征3000。

此外,期望具有例如沿着线特征3000的多个分段。因此,在多图案化工艺中,可以通过如上所述的切口来产生这样的分段。因此,器件图案包括相对于线特征3000的多个切口特征3020。然后可以通过不同于但类似于关于图29b至图29e所描述的那些工艺的多个光刻(le)工艺来产生器件图案特征。

因此,可能期望确定结构3000和3010之间的套刻。或者,可能期望确定切口3020与结构3010的创建之间的套刻。因此,如将理解的,可以存在各种不同的套刻,这些套刻可以理想地被确定并且然后被监视、控制等。

因此,识别感兴趣的层并识别应该确定的套刻(例如,在x方向上的套刻、在y方向上的套刻、或在x和y方向上的套刻)。在该示例中,可能期望确定结构3010和切口3020之间的y方向套刻。

因此,在器件内具有一个或多个特定的感兴趣套刻的情况下,可以设计非产品目标以帮助确定该套刻。在图30a的器件特征的情况下,可以产生具有与感兴趣的层可比较的节距和cd的结构的线空间图案。在图30c中示意性地描绘了非产品目标设计的这种结构的示例。在这种情况下,例如,将在与创建结构3010相同的图案化工艺中创建结构3040,并且将在与结构3000相同的图案化工艺中创建结构3030。如上面关于图24所讨论的,可以提供切口以确定切口和基本垂直的结构之间的y方向套刻。也就是说,切口可以实现切口和结构之间在y方向上的相对偏移,当它们被创建时,引起对称性破坏以实现y方向套刻的确定。

因此,参考图30c,在非产品目标设计中将一个或多个切口引入图30b的结构,以实现y方向套刻的确定。为了实现这一点,定义了单位单元3050。如所见,单位单元具有结构3030和3040。此外,单位单元在结构3030中具有切口3060。切口使得x对称性被切口3060和结构3040的创建之间在y方向上的相对位移所破坏。因此,当切口3060与结构3040之间在y方向上存在相对位移时,切口3060使得能够创建在x方向上的不对称性。切口3060创建了用以确定由于切口3060和结构3040在其创建期间的相对偏移而引起的y方向套刻的参考。由于切口3060和结构3040充当针对结构3010和切口3020的代理,因此在相对位移条件下由非产品目标重定向的辐射确定在y方向上在切口3060与结构3040之间的相对位移,这可以对应于结构3010和切口3020之间的y方向套刻。

在一个实施例中,切口3060使得单位单元在标称配置下在x方向上是对称的。此外,在一个实施例中,切口3060使得它不影响单位单元在y方向上的对称性。在一个实施例中,切口3060在可能的情况下具有与器件图案化工艺中的切口3020可比较的cd和节距。然而,切口的大小、数量和位置可以进行适配以制成对称的单位单元。在一个实施例中,如图30c中所示,单位单元作为多个实例而进行重复,以形成用于在衬底上创建的非产品目标。

因此,在该实施例中,在标称配置下,单位单元3060具有x对称性和y对称性二者。此外,特征之间的在y方向上的相对偏移引起单位单元中的x对称性的破坏(同时保持y对称性),从而可以确定y方向套刻。

参考图31,示意性地描绘了设计非产品目标的方法的实施例。虽然描述了若干步骤,但是并非所有步骤都是必需的。因此,在一个实施例中,可以选择步骤的子组合。此外,可以重新布置步骤(或步骤的子组合)的顺序。此外,根据创建用于确定套刻(或从此类目标的结果导出的任何其他参数)的非产品目标设计来描述该设计方法。但是,该方法可以被扩展到一个或多个其他参数。

在3100处,在非产品目标布局设计过程中设计一个或多个非产品目标。一个或多个非产品目标设计可以是本文所描述的那些中的任何一个或多个。在一个实施例中,可以使用如本文所述的设计非产品目标设计的一种或多种技术。在一个实施例中,非产品目标布局设计过程主要确定非产品目标的单位单元的几何形状(以及因此的非产品目标的几何形状)。

在一个实施例中,非产品目标布局设计过程涉及评估器件图案以识别感兴趣的套刻。通常存在特征和/或层的多种组合,尤其是在利用lele处理的情况中,以用于评估套刻。因此,确定特征和/或层的一个或多个套刻临界组合可能是所期望的。

在根据被评估的特征/层和一个或多个方向(例如,x、y或x和y)识别的一个或多个套刻的情况下,可以创建重复图案(例如,线空间图案、闭合曲线阵列,诸如图28中)。在一个实施例中,重复图案具有与来自器件图案的感兴趣的特征/层可比较的节距和/或cd。

然后,取决于要测量的器件图案和套刻,可以使用本文所描述的一种或多种技术来创建非产品目标设计的单位单元的几何形状。例如,在感兴趣的特征是平行的(例如,y方向)并且在x方向上的套刻是期望的情况下,那么可以创建诸如图21中的目标,或者目标可以并入来自图21的设计特征以使得能够确定这种套刻。例如,在感兴趣的特征是平行的(例如,y方向)并且在y方向上的套刻是期望的情况下,那么可以创建并入了图22中的切口/突起布置的目标,或者目标可以并入来自图22的设计特征以使得能够确定这种套刻。例如,当感兴趣的特征是垂直的并且在x方向上的套刻是期望的情况下,那么可以创建诸如图23中的目标,或者目标可以并入来自图23的设计特征以使得能够确定这种套刻。例如,当感兴趣的特征是垂直的并且应当测量y方向上的套刻的情况下,那么可以创建诸如图24中的目标,或者目标可以并入来自图24的设计特征以使得能够确定这种套刻。

在适当的情况下并且在许多情况下,线空间图案的线上的切口/突起可以用作破坏x和/或y方向上的对称性以使得能够确定相应套刻的构件。在一个实施例中,切口/突起就cd和/或节距而言与器件图案中相关联的特征是可比较的。但是,在一个实施例中,切口/突起的位置应使得单位单元在标称配置下是对称的。在一个实施例中,选择单位单元的结构和/或切口/突起以使单位单元尽可能小。

在一个实施例中,目标不必需要确切地遵循器件的所有工艺步骤(例如,可以在形成目标时绕过器件的一个或多个工艺步骤——如果例如那些步骤难以建模的话)。但是,器件和目标之间的工艺差异不应影响针对所考虑的特征/层的套刻。

当期望来自相同目标的x方向上的套刻和y方向上的套刻时,切口的垂直偏移不应改变相对于y轴的对称性,并且结构的水平偏移不应改变相对于x轴的对称性。这有助于确保x方向套刻和y方向套刻在它们的确定中与来自目标的重定向的辐射解耦合。

在一个实施例中,如果用lele处理其中一层,则可以使用不同的目标来使套刻与每个光刻步骤解耦合。如果使用lele处理两层,则例如可以使用四个目标。

在一个实施例中,在目标的套刻灵敏度允许的情况下,可以在相同目标(例如,像图27中的目标)中组合多于两层之间的套刻。这将是更为空间有效的,但是由于例如目标的更高复杂性所引起的建模中的串扰或不准确性,可能会存在精度的损失。

在一个实施例中,目标应该具有间隙区域和具有与器件类似密度的图案的图案化区域。在一个实施例中,目标周围的间隙和图案化区域可以是例如至少0.2μm的间隙区域和/或至少2μm的图案化区域。

利用标称目标设计,可以执行各种评估步骤以调整标称目标设计和/或确定标称目标设计是否将是合适的。因此,例如,除了设计目标以满足器件特征的套刻行为之外,还可以鉴于可印刷性(例如作为图案化工艺的一部分而创建目标的能力)、可检测性(例如目标产生信号的良好程度)、稳健性(例如目标对图案化工艺中发生的变化的稳定程度)、和/或器件匹配(例如器件的套刻是从目标确定套刻的代表性程度)来分析目标的设计。

因此,在3110处,可以执行器件匹配以确定从目标测量的套刻是否代表器件的套刻。这可以通过使用模拟器或数学模型来执行以确定器件的感兴趣的模拟或建模套刻是否匹配(例如,在阈值内)目标设计的感兴趣的对应模拟或建模的套刻。在一个实施例中,可以针对图案化工艺的光刻步骤执行匹配(例如,场内匹配)。在一个实施例中,可以针对图案化工艺的蚀刻步骤执行匹配(例如,场间匹配)。如果没有足够的匹配,则可以例如放弃或修改目标设计(其中修改可以包括目标的特征的节距的改变、目标的特征的cd的改变,目标的结构的材料的改变等)。

在3120处,可以执行可检测性评估以确定目标设计产生信号的良好程度。这可以通过使用模拟器或数学模型来确定来自目标设计的预期信号以及它是否满足阈值。在一个实施例中,这可以涉及评估目标对套刻的灵敏度,诸如本文所讨论的任何灵敏度(例如,雅可比矩阵)。在一个实施例中,评估可以考虑目标设计的光瞳强度(例如,光瞳强度的均方根)、堆叠灵敏度和/或衍射效率,并且对照阈值对其进行评估。如果没有足够的匹配,则可以例如放弃或修改目标设计(其中修改可以包括目标的特征的节距的改变、目标的特征的cd的改变、目标的结构的材料的改变等)。在一个实施例中,对于步骤3110和3120执行迭代,直到满足相应的阈值为止。

在3130处,可以执行可印刷性评估以确定作为图案化工艺的一部分而创建目标的可行性。这可以通过使用模拟器或数学模型来确定目标设计是否将足以制作在衬底上(例如,超越或满足阈值)。如果没有足够的可印刷性,则可以例如放弃或修改目标设计(其中修改可以包括目标的特征的节距的改变、目标的特征的cd的改变、目标的结构的材料的改变等)。

在3140处,可以执行稳健性评估以确定目标对图案化工艺中发生的变化的稳定程度。这可以通过使用模拟器或数学模型来执行,以确定目标设计是否将对图案化工艺中发生的变化是敏感的(例如,超越或满足阈值),并且因此产生不准确的结果。例如,评估可以通过例如在模拟器或模型中引入扰动来确定目标结果对过程扰动的正交性。如果没有足够的稳健性,则可以例如放弃或修改目标设计(其中修改可以包括目标的特征的节距的改变、目标的特征的cd的改变、目标的结构的材料的改变等)。

在3150处,可以通过图案化工艺来创建目标以用于目标的验证。可以设定打印目标的图案化工艺以引起对目标的各种已知套刻,然后可以使用本文的技术测量目标以确定套刻。然后可以将设定的套刻与所获得的套刻进行比较。如果没有足够的匹配(例如,超越或满足阈值),则可以例如放弃或修改目标设计(其中修改可以包括目标的特征的节距的改变、目标的特征的cd的改变、目标的结构的材料的改变等)。

所确定的图案化工艺参数值(例如,套刻值)和本文的技术可用于多种目的。例如,实现图案化工艺的显著方面包括显影该工艺本身、将其设置用于监视和控制、然后实际监视和控制该工艺本身(例如,基于图案化工艺参数值来预测缺陷的概率)。图案化工艺参数值和本文的技术可以使用在这些方面中的任何方面中。此外,假设图案化工艺的基本原理的配置,诸如(一个或多个)图案化装置图案、(一个或多个)抗蚀剂类型、光刻后工艺步骤(诸如显影、蚀刻等),则所期望的是,在图案化工艺中设置设备以用于将图案转移到衬底上,显影一个或多个量测目标以监视该工艺,建立量测过程以测量量测目标,并且实施基于测量来监视和/或控制工艺的过程。图案化工艺参数值和本文的技术可以使用在那些过程中的任何过程中。

虽然本申请中的讨论考虑了被设计为测量在衬底上形成的器件的套刻的量测过程和量测目标的实施例,但是本文的实施例同样适用于其他量测过程和目标,诸如测量对称结构中的各种其他不对称性的过程和目标,诸如侧壁角不对称性、底板倾斜角不对称性、cd不对称性等。因此,本文中对套刻量测目标、套刻数据等的参考应被视为适当地修改以实现其他种类的量测过程和目标。

在一个实施例中,提供了一种确定图案化工艺的参数的方法,该方法包括:用辐射光束照射衬底,使得衬底上的光束斑点被单位单元的一个或多个物理实例填充,所述单位单元在所述参数的标称值下具有几何对称性;使用检测器主要检测由所述单位单元的一个或多个物理实例重定向的零阶辐射;并且,由硬件计算机系统根据所述检测到的辐射的光学特性的值来确定所述单位单元的所述参数的非标称值。

在一个实施例中,参数包括套刻。在一个实施例中,该方法包括基于所述参数来确定边缘放置误差。在一个实施例中,与来自对所述参数测量的物理影响具有较低灵敏度的所述检测到的辐射的像素的光学特性值相比,来自对所述参数测量的物理影响具有较高灵敏度的所述检测到的辐射的像素的光学特性值对确定参数的非标称值提供更大的贡献。在一个实施例中,光学特性的值形成光瞳表示。在一个实施例中,处理所述光学特性的值以减去跨越对称轴的光学特性值,以便减少或消除所述检测到的辐射的对称光学特性分布的光学特性值。在一个实施例中,使用针对所述检测到的辐射的多个像素的光学特性值的求和来确定所述参数的非标称值,其中针对每个像素的光学特性值被乘以针对该像素的相关联的加权。在一个实施例中,所述光学特性是强度和/或相位。在一个实施例中,所述单位单元的一个或多个物理实例是器件结构。在一个实施例中,所述单位单元的一个或多个物理实例是包括器件结构的衬底裸片内的非器件结构。在一个实施例中,在用以产生所述单位单元的一个或多个物理实例的蚀刻工艺之后检测辐射。在一个实施例中,所述参数包括套刻,并且所述方法还包括根据所述光学特性值、与第二套刻相分离地确定第一套刻的值,所述第二套刻的值也从相同的光学特性值可获得,其中所述第一套刻在与所述第二套刻不同的方向上或者在与所述第二套刻不同的、所述单位单元的各部分的组合之间。

在一个实施例中,提供了一种确定图案化工艺的参数的方法,所述方法包括:获得检测到的由在标称物理配置下具有几何对称性的结构重定向的辐射的光瞳表示,其中所述结构的与所述标称物理配置不同的不同物理配置引起所述光瞳表示中的不对称光学特性分布;处理所述光瞳表示以减去跨越对称轴的光学特性值,以减少或消除所述光瞳表示中的对称光学特性分布的光学特性值;以及由硬件计算机系统基于来自经处理的光瞳表示的光学特性值来确定所述图案化工艺参数的值。

在一个实施例中,图案化工艺参数是套刻,并且不同的物理配置是所述结构的至少一部分相对于结构的另一部分的偏移。在一个实施例中,所述光瞳表示主要是零阶辐射。在一个实施例中,与来自对所述不同的物理配置具有较低灵敏度的所述检测到的辐射的其他像素的光学特性值相比,来自对所述不同的物理配置具有较高灵敏度的经处理的光瞳表示的像素的光学特性值对确定所述图案化工艺参数的值提供更大的贡献。在一个实施例中,使用针对所述光瞳表示的多个像素的光学特性值的求和来确定所述图案化工艺参数的值,其中针对每个像素的光学特性值被乘以针对该像素的相关联的加权。在一个实施例中,所述光学特性是强度和/或相位。在一个实施例中,所述结构是器件结构。在一个实施例中,所述结构是包括器件结构的衬底裸片内的非器件结构。在一个实施例中,在用以产生所述结构的蚀刻工艺之后检测辐射。在一个实施例中,所述确定包括:根据所述光学特性值、与针对所述结构的第二图案化工艺参数的值相分离地确定所述结构的第一图案化工艺参数的值,所述第二图案化工艺参数的值也从相同的光学特性值可获得,其中所述第一图案化工艺参数在与所述第二图案化工艺参数不同的方向上或者在与所述第二图案化工艺参数不同的、所述结构的各部分的组合之间。

在一个实施例中,提供了一种确定图案化工艺的参数的方法,该方法包括:获得检测到的由在标称物理配置下具有几何对称性的结构重定向的辐射的表示,其中通过用辐射光束照射衬底使得所述衬底上的光束斑点被所述结构填充来获得检测到的辐射的表示;以及由硬件计算机系统基于来自所述检测到的辐射的表示中的不对称光学特性分布部分的光学特性值来确定所述图案化工艺的参数的值,所述检测到的辐射的表示中的不对称光学特性分布部分具有比所述检测到的辐射的表示中的另一部分更高的权重,所述不对称光学特性分布源自所述结构的与所述标称物理配置不同的物理配置。

在一个实施例中,所述图案化工艺参数是套刻,并且所述不同的物理配置是所述结构的至少一部分相对于所述结构的另一部分的偏移。在一个实施例中,所述检测到的辐射的表示是光瞳表示。在一个实施例中,所述检测到的辐射主要是零阶辐射。在一个实施例中,处理所述检测到的辐射的表示以减去跨越对称轴的光学特性值,以便减少或消除所述检测到的辐射的表示的对称光学特性分布的光学特性值。在一个实施例中,使用针对所述检测到的辐射的表示中的多个像素的光学特性值的求和来确定所述图案化工艺的参数的值,其中针对每个像素的光学特性值被乘以针对该像素的相关联的加权。在一个实施例中,所述光学特性是强度和/或相位。在一个实施例中,所述结构是器件结构。在一个实施例中,所述结构是包括器件结构的衬底裸片内的非器件结构。在一个实施例中,所述加权被配置为使得针对所述不同的物理配置而与第二类型的所述图案化工艺的参数相分离地确定第一类型的所述图案化工艺的参数,所述第二类型的所述图案化工艺的参数也从相同的光学特性值可获得,其中所述第一类型的图案化工艺的参数在与所述第二类型的图案化工艺的参数不同的方向上或在与所述第二类型的图案化工艺的参数不同的、单位单元的各部分的组合之间。在一个实施例中,该方法还包括加权,所述加权被配置为使得针对所述不同的物理配置确定所述第二类型的所述图案化工艺的参数。

在一个实施例中,提供了一种确定图案化工艺的参数的方法,该方法包括:获得检测到的由在所述参数的标称值下具有几何对称性的结构重定向的辐射的表示,其中通过用辐射光束照射衬底使得所述衬底上的光束斑点被所述结构填充来获得检测到的辐射的表示,并且其中在所述参数的非标称值下,所述结构的物理配置引起所述检测到的辐射的表示的不对称光学特性分布;以及由硬件计算机系统基于针对所述检测到的辐射的表示中的多个像素的光学特性值的求和来确定所述结构的所述参数的非标称值,其中针对每个像素的光学特性值被乘以针对该像素的相关联的加权,其中,针对所述不对称光学特性分布中的像素的加权不同于针对所述检测到的辐射的表示中的对称光学特性分布部分中的像素的加权。

在一个实施例中,所述参数包括套刻。在一个实施例中,所述检测到的辐射的表示是光瞳表示。在一个实施例中,所述检测到的辐射主要是零阶辐射。在一个实施例中,处理所述检测到的辐射的表示以减去跨越对称轴的光学特性值,以便减少或消除所述检测到的辐射的表示的对称光学特性分布的光学特性值。在一个实施例中,所述光学特性是强度和/或相位。在一个实施例中,所述结构是器件结构。在一个实施例中,所述结构是包括器件结构的衬底裸片内的非器件结构。在一个实施例中,所述参数包括套刻,并且所述加权被配置为与针对所述结构的第二类型的套刻相分离地确定针对所述结构的第一类型的套刻,第二类型的套刻也从相同的光学特性值可获得。在一个实施例中,该方法还包括加权,所述加权被配置为根据相同的光学特性值、与针对所述结构的所述第一类型的套刻相分离地确定针对所述结构的所述第二类型的套刻。

在一个实施例中,提供了一种方法,包括:获得检测到的由在标称物理配置下具有几何对称性的结构重定向的辐射的表示,其中所述结构的与所述标称物理配置不同的物理配置引起检测到的表示中的不对称光学特性分布,并且图案化工艺参数测量所述物理配置中的变化;以及由硬件计算机系统使用处理从所述检测到的表示中导出的光学特性值的重建过程来确定在不同物理配置下的所述图案化工艺参数的值。

在一个实施例中,所述方法还包括处理所述表示以减去跨越对称轴的光学特性值,以便减少或消除所述表示中的对称光学特性分布的光学特性值,并且所述确定包括使用重建过程确定所述图案化工艺参数的值,所述重建过程处理从经处理的检测到的表示中导出的光学特性值。在一个实施例中,所述重建过程涉及使用所述结构的数学模型来生成由所述结构重定向的辐射的模拟表示,以用于与从所述检测到的表示中导出的光学特性值进行比较。在一个实施例中,所述数学模型基于从所述结构的实例的测量导出的所述结构的轮廓。在一个实施例中,所述重建过程涉及将从所述检测到的表示导出的光学特性值与由所述结构重定向的辐射的模拟表示的库进行比较。

在一个实施例中,提供了一种方法,包括:获得检测到的由在标称物理配置下具有几何对称性的结构重定向的辐射的表示,其中所述结构的与所述标称物理配置不同的物理配置引起检测到的表示中的不对称光学特性分布,并且图案化工艺参数测量所述物理配置中的变化;以及由硬件计算机系统使用非线性求解器来确定不同物理配置下的所述图案化工艺参数的值,所述非线性求解器处理从所述检测到的表示中导出的光学特性值。

在一个实施例中,所述非线性求解器对函数进行求解,其中所述函数的一个或多个变量项仅由以下项组成:具有作为变量的图案化工艺参数的奇数幂的一个或多个变量项,和/或具有作为变量的图案化工艺参数与作为变量的所述结构的另一参数的组合的一个或多个变量项。在一个实施例中,该方法还包括处理所述表示以减去跨越对称轴的光学特性值,以便减少或消除所述表示中的对称光学特性分布的光学特性值,并且使用非线性求解器确定所述图案化工艺参数的值,所述非线性求解器处理从经处理的检测到的表示中导出的光学特性值。

在一个实施例中,提供了一种配置参数确定过程的方法,所述方法包括:获得结构的数学模型,所述数学模型被配置为预测在用辐射光束照射所述结构时的光学响应,所述结构在标称物理配置下具有几何对称性;由硬件计算机系统使用所述数学模型来模拟所述结构的所述物理配置中的一定量的扰动,以确定多个像素中的每个像素中的所述光学响应的对应变化,从而获得多个像素灵敏度;以及基于所述像素灵敏度,确定多个权重,所述多个权重用于与衬底上的所述结构的经测量的像素光学特性值组合,以产生与所述物理配置中的变化相关联的参数的值,每个权重对应于一个像素。

在一个实施例中,所述参数是套刻,并且所述不同的物理配置是所述结构的至少一部分相对于所述结构的另一部分的偏移。在一个实施例中,所述光学响应包括光瞳图像形式的光学特性。在一个实施例中,所述光学响应主要是零阶辐射。在一个实施例中,确定权重包括使用雅可比矩阵。在一个实施例中,确定权重包括使用黑塞矩阵。在一个实施例中,确定权重包括使用摩尔-彭若斯广义逆。在一个实施例中,所述权重被配置成使得能够使用针对检测到的辐射的表示中的多个像素的光学特性值的求和来确定所述参数的值,其中针对每个像素的光学特性值被乘以所述多个权重中的与该像素相关联的权重。在一个实施例中,所述光学特性是强度和/或相位。在一个实施例中,所述结构是器件结构。在一个实施例中,所述结构是包括器件结构的衬底裸片内的非器件结构。在一个实施例中,所述方法还包括确定用于获得所述经测量的像素光学特性值的测量设置集合,所述测量设置集合对应于所述多个权重。在一个实施例中,所述测量设置集合包括选自以下项中的一项或多项:测量光束的波长、所述测量光束的偏振、所述测量光束的剂量、和/或由检测器传感器得到的对所述结构的特定一次照射的多个光学特性读数。在一个实施例中,获得所述数学模型包括:在包括所述结构的一个或多个衬底上执行cd测量,并且对照所述cd测量来校准所述数学模型以获得针对所述结构的所述物理配置的扰动的所述结构的标称轮廓。在一个实施例中,所述方法还包括:测量由多个结构重定向的辐射的光学特性值,所述多个结构具有已知的不同物理配置和相关联的所述参数的预期值;组合所述权重和所测量的所述光学特性值以确定针对所述已知的不同物理配置中的每种物理配置的所述参数的值;以及用所述参数的预期值评估所确定的所述参数的值;以及响应于所述评估,调节所述数学模型的参数和/或调节所述权重中的一个或多个权重。

在一个实施例中,提供了一种方法,包括:由硬件计算机系统使用结构的数学模型来预测在用辐射光束照射所述结构时的光学响应,所述结构在标称物理配置下具有几何对称性,并且图案化工艺参数测量所述物理配置中的变化;以及由所述硬件计算机系统使用非线性求解器来基于所述光学响应确定所述图案化工艺参数的数学函数的系数,所述图案化工艺参数作为所述数学函数的变量,所确定的所述系数和所述函数用于与检测到的来自所述结构的辐射的经测量的表示一起使用以确定针对所测量的结构的所述图案化工艺参数的值,所述经测量的表示是在引起检测到的表示中的不对称光学特性分布的、与所述标称物理配置不同的物理配置下在衬底上测量的。在一个实施例中,所述方法包括使用所述数学模型来模拟结构的物理配置中的一定量的扰动,以确定所述光学响应的对应变化,并且其中确定所述系数是使用所变化的光学响应。在一个实施例中,所述方法还包括:获得检测到的由所述结构重定向的辐射的表示,所述结构在所述衬底上具有不同物理配置,以及使用非线性求解器确定所述图案化工艺参数的值,所述非线性求解器处理从所述检测到的表示导出的光学特性值并使用所确定的所述系数。在一个实施例中,所述非线性求解器求解函数,其中所述函数的一个或多个变量项仅由以下项组成:具有作为变量的图案化工艺参数的奇数幂的一个或多个变量项,和/或具有作为变量的图案化工艺参数与作为变量的所述结构的另一参数的组合的一个或多个变量项。在一个实施例中,所述方法还包括:处理所述光学响应以减去跨越对称轴的光学特性值,以便减少或消除所述光学响应中的对称光学特性分布的光学特性值,并且确定所述系数是基于从经处理的光学响应导出的光学特性值。在一个实施例中,所述数学模型使用所述结构的标称轮廓,所述标称轮廓是从为了获得所述结构的所述标称轮廓而对照cd测量对所述数学模型的校准中导出的。在一个实施例中,所述系数包括用于所述光学响应中的多个像素中的每个像素的系数的集合。

在一个实施例中,提供了一种方法,包括:获得针对由图案化工艺生成的结构的不同实例的测量结果,其中在图案化工艺参数的多个不同设定值中的每一个设定值下获得测量结果,所述图案化工艺参数测量所述结构的物理配置中的变化,并且所述图案化工艺参数的每个不同设定值对应于所述结构的引起辐射的表示中的不对称光学特性分布的物理配置;以及由硬件计算机系统确定多个数据驱动值,所述多个数据驱动值对应于权重,所述权重用于与所述结构的另外实例的测量光学特性值组合以产生所述图案化工艺参数的值,其中在目标函数或评价函数或者机器学习算法中使用所述设定值和所述测量结果以确定所述数据驱动值。

在一个实施例中,该方法还包括使用所确定的数据驱动值来修改所述结构的数学模型,并使用所述数学模型导出所述权重,以与所述结构的另外实例的测量光学特性值组合。在一个实施例中,该方法还包括使用所述数学模型的黑塞矩阵来更新在所述数学模型中体现的所述结构的标称轮廓的值。在一个实施例中,该方法还包括使用经修改的数学模型的黑塞矩阵来计算所述权重,以与所述结构的另外实例的测量光学特性值组合。在一个实施例中,所述测量结果是多个检测到的由所述结构的不同实例重定向的辐射的表示。在一个实施例中,通过用辐射光束照射衬底使得在所述衬底上的光束斑点被所述结构填充来获得检测到的辐射的表示。在一个实施例中,该方法还包括:生成辐射的一个或多个合成表示,所述辐射被预期由所述结构的实例重定向并且被预期用于所述图案化工艺的变化,并且其中确定所述多个数据驱动值是基于所述设定值、所述测量结果和所述一个或多个合成表示。在一个实施例中,通过使用所述数学模型的黑塞矩阵生成所述辐射的一个或多个合成表示。在一个实施例中,使用非线性模拟生成所述辐射的一个或多个合成表示。在一个实施例中,所述图案化工艺参数是套刻。在一个实施例中,该方法还包括基于所述多个权重结合所述结构的另外实例的测量光学特性值,确定针对所述结构的另外实例的所述图案化工艺参数的值。在一个实施例中,所述测量光学特性值中的每一个值对应于光瞳表示中的像素,并且包括:基于针对所述光瞳表示中的多个像素的测量光学特性值的求和来确定针对所述另外实例的所述图案化工艺参数的值,其中针对每个像素的测量光学特性值被乘以针对该像素的相关联的加权,其中用于所述光瞳表示的非对称光学特性分布部分中的像素的加权不同于用于所述光瞳表示的对称光学特性分布部分中的像素的加权。

在一个实施例中,提供了一种确定图案化工艺的参数的方法,该方法包括:获得检测到的由单位单元的一个或多个物理实例重定向的辐射的表示,其中,所述单位单元在参数的标称值处具有几何对称性,并且其中通过用辐射光束照射衬底使得所述衬底上的光束斑点用所述单位单元的一个或多个物理实例填充来获得检测到的辐射的表示;以及由硬件计算机系统并且根据来自所述检测到的辐射的表示的光学特性值、与针对所述单位单元的第二类型的参数相分离地确定针对所述单位单元的第一类型的参数的值,所述第二类型的参数的值也从相同的光学特性值可获得,其中所述第一类型的参数在与所述第二类型的参数不同的方向上,或者在与所述第二类型的参数不同的、所述单位单元的各部分的组合之间。

在一个实施例中,所述参数包括套刻。在一个实施例中,所述第一类型的参数和第二类型的参数用于不同的方向以及用于所述单位单元的相同的第一部分和第二部分。在一个实施例中,所述第一类型的参数位于与所述第二类型的参数不同的、所述单位单元的各部分的组合之间。在一个实施例中,所述方法还包括根据与确定所述第一类型的参数的值相同的光学特性值,确定所述第二类型的参数的值。在一个实施例中,确定所述第一类型的参数的值是使用针对像素光学特性值的权重的集合。在一个实施例中,使用针对所述检测到的辐射的多个像素的光学特性值的求和来确定所述第一类型的参数的值,其中针对每个像素的光学特性值被乘以针对该像素的相关联的加权。在一个实施例中,与来自对所述参数测量的物理影响具有较低灵敏度的所述检测到的辐射的像素的光学特性值相比,来自对由所述参数测量的物理影响具有较高灵敏度的所述检测到的辐射的表示的其他像素的光学特性值对于确定所述第一类型的参数的值提供更大的贡献。在一个实施例中,所述检测到的辐射主要是零阶辐射。在一个实施例中,所述检测到的辐射的表示是光瞳表示。在一个实施例中,处理所述检测到的辐射的表示以减去跨越对称轴的光学特性值,以便减少或消除所述检测到的辐射的表示的对称光学特性分布的光学特性值。在一个实施例中,所述光学特性是强度和/或相位。在一个实施例中,所述结构是器件结构。在一个实施例中,所述结构是包括器件结构的衬底裸片内的非器件结构。在一个实施例中,在用以产生结构的蚀刻工艺之后检测所述检测到的辐射的表示。

在一个实施例中,提供了一种确定图案化工艺的参数的方法,所述方法包括:获得检测到的由单位单元的一个或多个物理实例重定向的辐射的表示,其中,所述单位单元在所述参数的标称值下具有几何对称性,并且其中通过用辐射光束照射衬底使得所述衬底上的光束斑点用所述单位单元的一个或多个物理实例填充来获得检测到的辐射的表示;以及由硬件计算机系统并且根据来自所述检测到的辐射的表示的光学特性值、与针对所述单位单元的第二部分和针对所述单位单元的第三部分之间的或者针对所述单位单元的第三部分和针对所述单位单元的第四部分之间的所述参数的值相分离地确定针对所述单位单元的第一部分和所述单位单元的第二部分之间的参数值,针对所述单位单元的第二部分和针对所述单位单元的第三部分之间的或者针对所述单位单元的第三部分和针对所述单位单元的第四部分之间的所述参数的值也从相同的光学特性值可获得。

在一个实施例中,参数包括套刻。在一个实施例中,所述方法还包括根据所述光学特性值、与所述单位单元或每个单位单元的所述第一部分和第二部分之间的参数值相分离地确定所述单位单元或每个单位单元的所述第二部分和第三部分之间的或者所述单位单元或每个单位单元的所述第三部分和第四部分之间的参数值。在一个实施例中,确定所述参数值是使用针对像素光学特性值的权重的集合。在一个实施例中,使用针对所述检测到的辐射的表示中的多个像素的光学特性值的求和来确定参数值,其中针对每个像素的光学特性值被乘以针对该像素的相关联的加权。在一个实施例中,与来自对由所述参数测量的物理影响具有较低灵敏度的所述检测到的辐射的表示的像素的光学特性值相比,来自对由所述参数测量的物理影响具有较高灵敏度的所述检测到的辐射的表示的其他像素的光学特性值对确定所述参数值提供了更大的贡献。在一个实施例中,检测到的辐射主要是零阶辐射。在一个实施例中,检测到的辐射的表示是光瞳表示。在一个实施例中,处理所述检测到的辐射的表示以减去跨越对称轴的光学特性值,以便减少或消除所述检测到的辐射的表示的对称光学特性分布的光学特性值。在一个实施例中,所述光学特性是强度和/或相位。在一个实施例中,所述结构是器件结构。在一个实施例中,所述结构是包括器件结构的衬底裸片内的非器件结构。在一个实施例中,在用以产生该结构的蚀刻工艺之后检测所述辐射。

在一个实施例中,提供了一种配置参数确定过程的方法,所述方法包括:获得衬底上的结构的数学模型,所述模型被配置为预测在用辐射光束照射所述结构时的光学响应,并且所述结构在标称参数值下具有几何对称性;由硬件计算机系统使用所述模型来模拟所述结构的第一类型参数的变化,以确定多个像素中的每个像素中的光学响应的对应的第一变化,并且模拟所述参数的第二类型的变化,以确定所述多个像素中的每个像素中的光学响应的对应的第二变化,其中所述第一类型参数用于与所述第二类型参数不同的方向或用于与所述第二类型参数不同的、所述结构的各部分的组合之间;以及基于所述光学响应中的所述第一变化和第二变化,确定多个权重,该多个权重用于与所测量的像素光学特性值组合,以与所述第二类型参数相分离地从相同的测量光学特性值产生第一类型参数的值。

在一个实施例中,参数包括套刻。在一个实施例中,使用在所述多个像素的光学响应中的所述第一变化的方面与所述第一类型的参数的变化相对应的向量与在所述多个像素的光学响应中的所述第二变化的方面与第二类型的参数的变化相对应的向量正交的后向投影的结果来确定用于所述第一类型的参数的所述多个权重。在一个实施例中,该方法还包括:基于所述光学响应的所述第一变化和第二变化,确定多个权重,该多个权重用于与所测量的像素光学特性值组合,以与所述第一类型的参数相分离地从所述测量光学特性值产生所述第二类型的参数的值。在一个实施例中,使用在所述多个像素的光学响应中的所述第二变化的方面与所述第二类型的参数的变化相对应的向量与在所述多个像素的光学响应中的所述第一变化的方面与第一类型的参数的变化相对应的向量正交的后向投影的结果来确定用于所述第二类型的参数的所述多个权重。在一个实施例中,权重被配置成使得能够使用针对所述检测到的辐射的表示中的多个像素的光学特性值的求和来确定所述第一类型的参数和/或第二类型的参数,其中针对每个像素的光学特性值被乘以针对该像素的相关联的权重。在一个实施例中,所述光学响应包括光瞳图像形式的光学特性。在一个实施例中,所述光学响应主要是零阶辐射。在一个实施例中,所述光学特性是强度和/或相位。在一个实施例中,所述结构是器件结构。在一个实施例中,所述结构是包括器件结构的衬底裸片内的非器件结构。

在一个实施例中,提供了一种量测目标,包括:第一结构,被布置为由第一图案化工艺创建;和第二结构,被布置为由第二图案化工艺创建,其中所述第一结构和/或所述第二结构不被用来创建器件图案的功能方面,其中所述第一结构和所述第二结构一起形成单位单元的一个或多个实例,所述单位单元在标称物理配置下具有几何对称性,并且其中所述单位单元具有特征,所述特征由于所述第一图案化工艺、所述第二图案化工艺和/或另一图案化工艺中的图案放置的相对偏移而在与所述标称物理配置不同的物理配置下引起所述单位单元中的不对称性。

在一个实施例中,所述第一结构包括第一尺寸和/或材料的结构,所述第二结构包括第二尺寸或材料的结构,其中所述特征包括:所述第一尺寸和/或材料不同于所述第二尺寸和/或材料。在一个实施例中,所述第一结构包括沿着第一方向以阵列布置的结构,并且至少一个这样的结构包括由沿着基本垂直于所述第一方向的第二方向布置的空隙所分开的多个子结构,以及/或者所述第二结构包括沿着第一方向以阵列布置的结构,并且至少一个这样的结构包括由沿着基本垂直于所述第一方向的第二方向布置的空隙所分开的多个子结构,其中所述特征包括:所述第一结构和/或所述第二结构的所述空隙。在一个实施例中,使用与所述第一图案化工艺和所述第二图案化工艺不同的图案化工艺来产生所述第一结构和/或所述第二结构的所述空隙。在一个实施例中,所述第一结构包括所述空隙,并且所述第二结构包括所述空隙。在一个实施例中,所述第一结构的空隙具有与所述第二结构的空隙不同的节距。在一个实施例中,在标称物理配置下,所述第一结构的至少一个空隙与所述第二结构的至少一个空隙对齐。在一个实施例中,所述第一结构包括闭合曲线结构并且所述第二结构包括闭合曲线结构。在一个实施例中,所述结构在一个方向上被布置在第一阵列中,所述方向基本垂直于所述结构被布置在第二阵列中的方向或者所述结构被布置在结构的第三阵列中的方向。

在一个实施例中,提供了一种计算机程序产品,其包括记录有数据结构的计算机非瞬态可读介质,该数据结构对应于如本文所述的量测目标。在一个实施例中,提供了一种掩模版,其包括对应于如本文所述的量测目标的图案。

在一个实施例中,提供了一种方法,包括:创建用于量测目标的第一结构,所述第一结构由创建器件的对应器件特征的第一图案化工艺创建;创建用于所述量测目标的第二结构,所述第二结构由创建器件的另一对应器件特征的第二图案化工艺创建,其中所述第一结构和所述第二结构一起形成单位单元的一个或多个实例,所述单位单元在标称物理配置下具有几何对称性;以及在所述量测目标中引入特征,所述特征由于所述器件中的器件特征的位置与所述器件中的器件特征的预期位置的相对偏移而在与所述标称物理配置不同的物理配置下引起所述单位单元中的不对称性。

在一个实施例中,所述第一结构的特征具有与所述器件的对应特征基本相同的尺寸和/或节距,以及/或者所述第二结构的特征具有与所述器件的对应特征基本相同的尺寸和/或节距。在一个实施例中,所述量测目标中的所述特征由于在第一方向上的相对偏移而在所述单位单元中引起第一类型的不对称性,并且由于在不同的第二方向上的相对偏移而在所述单位单元中引起不同的第二类型的不对称性。在一个实施例中,所述方法还包括:评估选自以下项的一项或多项:所述量测目标的可印刷性、所述量测目标的可检测性、所述量测目标对工艺变化的稳健性、和/或所述量测目标与器件图案的匹配。在一个实施例中,所述方法包括迭代地评估所述量测目标与器件图案的匹配以及所述量测目标的可检测性。

在一个实施例中,提供了一种方法,包括:测量通过如本文所述的量测目标重定向的辐射,所述量测目标使用图案化工艺被转移至衬底,以确定图案化工艺的参数值。在一个实施例中,所述参数包括套刻和/或边缘放置误差。

参考图32,示出了计算机系统3200。计算机系统3200包括:总线3202或用于传送信息的其他通信机制,以及与总线3202耦合以处理信息的处理器3204(或多个处理器3204和3205)。计算机系统3200还包括耦合到总线3202以用于存储将由处理器3204执行的指令和信息的主存储器3206,诸如随机存取存储器(ram)或其他动态存储设备。主存储器3206还可以用于在由处理器3204执行的指令的执行期间存储临时变量或其他中间信息。计算机系统3200还包括只读存储器(rom)3208或耦合到总线3202以用于存储处理器3204的静态信息和指令的其他静态存储设备。诸如磁盘或光盘的存储设备3210被提供并耦合到总线3202以用于存储信息和指令。

计算机系统3200可以经由总线3202耦合到显示器3212,诸如阴极射线管(crt)或平板或触摸板显示器,以用于向计算机用户显示信息。包括字母数字键和其他键的输入设备3214耦合到总线3202,以用于将信息和命令选择传送到处理器3204。另一种类型的用户输入设备是诸如鼠标、轨迹球或光标方向键的光标控制件3216,以用于将方向信息和命令选择传送到处理器3204并用于控制显示器3212上的光标移动。该输入设备通常在两个轴(第一轴(例如,x)和第二轴(例如,y))上具有两个自由度,其允许设备指定平面中的位置。触摸板(屏幕)显示器也可以用作输入设备。

计算机系统3200可以适合于在本文中响应于处理器3204执行包含在主存储器3206中的一个或多个指令的一个或多个序列而用作处理单元。可以从诸如存储设备3210的另一计算机可读介质将这些指令读入主存储器3206。包含在主存储器3206中的指令序列的执行使得处理器3204执行本文所描述的过程。还可以采用多处理布置中的一个或多个处理器来执行包含在主存储器3206中的指令序列。在替代实施例中,可以使用硬连线电路代替软件指令或与软件指令组合。因此,实施例不限于硬件电路和软件的任何特定组合。

本文所使用的术语“计算机可读介质”是指参与向处理器3204提供指令以供执行的任何介质。这种介质可以采用许多形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质包括例如光盘或磁盘,诸如存储设备3210。易失性介质包括动态存储器,诸如主存储器3206。传输介质包括同轴电缆、铜线和光纤,包括包含总线3202的导线。传输介质还可以采用声波或光波的形式,诸如在射频(rf)和红外(ir)数据通信期间生成的声波或光波。计算机可读介质的常见形式包括例如软盘、柔性盘、硬盘、磁带、任何其他磁介质、cd-rom、dvd、任何其他光学介质、穿孔卡、纸带、任何具有孔图案的其他物理介质、ram、prom和eprom、flash-eprom、任何其他存储器芯片或盒、如下所述的载波、或计算机可从其中进行读取的任何其他介质。

各种形式的计算机可读介质可以涉及将一个或多个指令的一个或多个序列传送到处理器3204以供执行。例如,指令最初可以被承载在远程计算机的磁盘上。远程计算机可以将指令加载到其动态存储器中,并使用调制解调器通过电话线发送指令。计算机系统3200本地的调制解调器可以在电话线上接收数据并使用红外发射器将数据转换成红外信号。耦合到总线3202的红外检测器可以接收红外信号中携带的数据并将数据放置在总线3202上。总线3202将数据传送到主存储器3206,处理器3204从主存储器3206中取回并执行指令。主存储器3206接收的指令可以可选地在由处理器3204执行之前或之后而被存储在存储设备3210上。

计算机系统3200还可以包括耦合到总线3202的通信接口3218。通信接口3218提供耦合到网络链路3220的双向数据通信,网络链路3220连接到本地网络3222。例如,通信接口3218可以是用以提供与相应类型的电话线的数据通信连接的综合业务数字网(isdn)卡或调制解调器。作为另一个示例,通信接口3218可以是用以提供与兼容lan的数据通信连接的局域网(lan)卡。还可以实现无线链路。在任何这样的实现中,通信接口3218发送和接收携带表示各种类型信息的数字数据流的电信号、电磁信号或光信号。

网络链路3220通常通过一个或多个网络向其他数据设备提供数据通信。例如,网络链路3220可以通过本地网络3222提供到主计算机3224或到由因特网服务提供商(isp)3226操作的数据设备的连接。isp3226继而通过全球分组数据通信网络——现在通常称之为“因特网”3228——提供数据通信服务。本地网络3222和因特网3228都使用携带数字数据流的电信号、电磁信号或光信号。通过各种网络的信号和网络链路3220上以及通过通信接口3218的信号(其将数字数据携带至计算机系统3200和从计算机系统3200携带数字数据)是传送信息的载波的示例性形式。

计算机系统3200可以通过(一个或多个)网络、网络链路3220和通信接口3218发送消息和接收数据,包括程序代码。在因特网示例中,服务器3230可以通过因特网3228、isp3226、本地网络3222和通信接口3218发送应用程序的所请求的代码。根据一个或多个实施例,一个这样的下载的应用提供例如本文所公开的方法。所接收的代码可以在其被接收时由处理器3204执行,和/或被存储在存储设备3210或其他非易失性存储器中以供稍后执行。以这种方式,计算机系统3200可以以载波的形式获得应用代码。

本公开的实施例可以采取计算机程序或者其中存储有这样的计算机程序的数据存储介质(例如,半导体存储器、磁盘或光盘)的形式,该计算机程序包含描述本文所公开的方法的一个或多个机器可读指令序列。此外,机器可读指令可以被体现在两个或更多个计算机程序中。可以将两个或更多个计算机程序存储在一个或多个不同的存储器和/或数据存储介质上。

当位于光刻设备的至少一个组件内的一个或多个计算机处理器读取一个或多个计算机程序时,本文所描述的任何控制器可以各自是可操作的或者是组合地可操作的。控制器可以各自或组合地具有用于接收、处理和发送信号的任何合适的配置。一个或多个处理器被配置为与控制器中的至少一个控制器通信。例如,每个控制器可以包括一个或多个处理器,用于执行包括用于上述方法的机器可读指令的计算机程序。控制器可以包括用于存储这种计算机程序的数据存储介质,和/或用于接收这种介质的硬件。因此,(一个或多个)控制器可以根据一个或多个计算机程序的机器可读指令而操作。

尽管在本文中可以对量测设备在ic的制造中的使用进行具体参考,但是应该理解,本文所描述的量测设备和过程可以具有其他应用,诸如集成光学系统的制造、用于磁畴存储器、平板显示器、液晶显示器(lcd)、薄膜磁头的引导和检测图案等。本领域技术人员将理解,在这样的替代应用的上下文中,本文中术语“晶片”或“裸片”的任何使用可以分别被认为与更一般的术语“衬底”或“目标部分”同义。本文提到的衬底可以在曝光之前或之后在例如轨道(通常将抗蚀剂层施加到衬底并显影曝光的抗蚀剂的工具)、量测工具和/或一种或多种其它的其他工具中进行处理。在适用的情况下,本文的公开内容可以被应用于这种以及其他衬底处理工具。此外,衬底可以被处理一次以上,例如以便创建多层ic,使得本文所使用的术语衬底也可以指代已经包含多个经处理的层的衬底。

尽管以上可能已经在光学光刻的上下文中对本公开的实施例的使用进行了具体参考,但是应当理解,本公开可以在其他应用中使用,例如纳米压印光刻,并且在上下文允许的情况下,不仅限于光学光刻。在纳米压印光刻的情况下,图案化装置是压印模板或模具。

本文所使用的术语“辐射”和“光束”包括所有类型的电磁辐射,包括紫外(uv)辐射(例如,具有或大约365、355、248、193、157或126nm的波长)和极紫外线(euv)辐射(例如具有5nm至20nm波长),以及诸如离子束或电子束之类的粒子束。

在上下文允许的情况下,术语“透镜”可以指代各种类型的光学组件中的任何一个或组合,包括折射、反射、磁性、电磁和静电光学组件。

本文对超越或超过阈值的参考可以包括具有低于特定值或低于或等于特定值的值的某物、具有高于特定值或高于或等于特定值的值的某物、基于例如参数等(通过例如排序)而排名高于或低于其他某物的某物。

本文对校正误差或误差的校正的参考包括消除误差或将误差减小到公差范围内。

本文所使用的术语“优化”是指或者意指调节光刻设备、图案化工艺等,使得光刻或图案化处理的结果和/或工艺具有更多期望的特性,诸如设计布局在衬底上的投影的更高精度、更大的工艺窗口等。因此,本文所使用的术语“优化”是指或意指:与那些一个或多个变量的一个或多个值的初始集合相比,在至少一个相关度量中识别用于提供改进的一个或多个变量的一个或多个值的过程,例如局部最优值。应该相应地解释“最佳”和其他相关术语。在一个实施例中,可以迭代地应用优化步骤以提供一个或多个度量中的进一步改进。

在系统的优化过程中,系统或过程的品质因数(figureofmerit)可以被表示为成本函数。优化过程归结为找到优化(例如,最小化或最大化)成本函数的系统或过程的一组参数(设计变量)的过程。成本函数可以具有任何合适的形式,这取决于优化的目标。例如,成本函数可以是系统或过程的某些特性(评估点)相对于这些特性的预期值(例如,理想值)的偏差的加权均方根(rms);成本函数也可以是这些偏差的最大值(即最差偏差)。本文中的术语“评估点”应被广义地解释为包括系统或过程的任何特性。由于系统或过程的实施的实用性,系统的设计变量可以被限制在有限范围内和/或相互依赖。在光刻设备或图案化工艺的情况下,约束通常与诸如可调范围和/或图案化装置可制造性设计规则之类的硬件的物理性质和特性相关联,并且评估点可以包括在衬底上的抗蚀剂图像上的物理点以及诸如剂量和焦点之类的非物理特征。

虽然上面已经描述了本公开的特定实施例,但是应当理解,本公开可以不同于所描述的方式而被实践。例如,本公开可以采取如下形式:计算机程序,其包含描述上面公开的方法的一个或多个机器可读指令序列;或者数据存储介质(例如,半导体存储器、磁盘或光盘),在其中存储有这种计算机程序。

在框图中,所图示的组件被描绘为离散功能块,但是实施例不限于其中如所图示地组织本文所描述的功能的系统。由每个组件提供的功能性可以由与当前描绘不同地进行组织的软件或硬件模块提供,例如,这样的软件或硬件可以被混合、组合、复制、分解、分布(例如,在数据中心内或在地理上)、或以其他方式被不同地组织。本文所描述的功能性可以由执行存储在有形、非瞬态机器可读介质上的代码的一个或多个计算机的一个或多个处理器提供。在一些情况下,第三方内容递送网络可以托管通过网络传达的一些或所有信息,在这种情况下,在据说供应或以其他方式提供信息(例如,内容)的程度上而言,可以通过发送用于从内容递送网络取回该信息的指令来提供该信息。

除非另外特别说明,否则从讨论中可以明显看出,应当理解,在整个说明书中,利用诸如“处理”、“计算”、“确定”等术语的讨论是指特定设备的动作或过程,诸如专用计算机或类似的专用电子处理/计算装置。

读者应该理解,本申请描述了若干发明。申请人不是将这些发明分成多个独立的专利申请,而是已将这些发明归为一个单一文件,因为它们的相关主题使其在应用过程中有助经济。但是,这些发明的分立的优点和方面不应混为一谈。在一些情况下,实施例解决了本文所指出的所有缺陷,但应理解,本发明是独立有用的,并且一些实施例仅解决这些问题的一部分或提供对于审阅了本公开技术的本领域技术人员显而易见的其他未提及的益处。由于成本约束,本文所公开的一些发明可能目前未被要求保护,并且可以在诸如继续申请或通过修改本权利要求之类的后续申请中要求保护。类似地,由于空间约束,本文件的摘要和发明内容部分都不应被视为包含所有这些发明或这些发明的所有方面的综合列表。

应当理解,说明书和附图并非旨在将本发明限制于所公开的特定形式,而是相反,其目的是涵盖落入如所附权利要求所定义的本发明的精神和范围内的所有修改、等同物和替代物。

鉴于本说明书,本发明的各个方面的修改和替代实施例对于本领域技术人员而言将是显而易见的。因此,该描述和附图仅被解释为说明性的,并且是为了教导本领域技术人员实施本发明的一般方式。应理解,本文所示和所述的本发明的形式将被视为实施例的示例。在受益于本发明的该描述之后,元件和材料可以代替本文所示和所述的元件和材料,可以颠倒或省略组件和过程,可以独立地利用某些特征,并且可以组合实施例的特征或实施例,所有这些对于本领域技术人员来说是显而易见的。在不脱离所附权利要求中描述的本发明的精神和范围的情况下,可以对本文所描述的元件进行改变。本文所使用的标题仅用于组织目的,并不意味着用于限制本说明书的范围。

如在整个本申请中所使用的,词语“可以”以允许的意义使用(即,意味着有可能),而不是强制意义(即,意味着必须)。词语“包括”等意味着包括但不限于。如在整个本申请中所使用的,单数形式“一”、“一个”和“该”包括复数参照物——除非内容明确地另外指出。因此,例如,尽管对一个或多个元件使用诸如“一个或多个”之类的其他术语和短语,但是对“一”元件或“一个”元件的参考包括两个或更多个元件的组合。除非另有指示,否则术语“或”是非排他性的,即包含“和”和“或”二者。描述条件关系的术语,例如,“响应于x,则y”、“在x后,y”、“如果x,则y”、“当x时,y”等涵盖因果关系,其中前因是一个必要的因果条件,前因是一个充分的因果条件,或者前因是后果的贡献因果条件,例如,“状态x在条件y获得后发生”对于“x仅在y后发生”和“x在y和z后发生”而言是通用的。这种条件关系不仅限于紧接先行获得的后果,因为某些后果可能会延迟,并且在条件陈述中,前因与其结果相关联,例如,前因与可能性相关随之而来的。除非另有指示,否则将多个属性或函数映射到多个对象(例如,执行步骤a、b、c和d的一个或多个处理器)的语句涵盖将所有这些属性或函数映射到所有这些对象和子集以及将属性或功能的子集映射到属性或功能的子集二者(例如,每个执行步骤a-d的所有处理器,以及处理器1执行步骤a、处理器2执行步骤b和步骤c的一部分、并且处理器3执行步骤c和步骤d的一部分的情况)。此外,除非另有指示,否则一个值或动作“基于”另一个条件或值的陈述涵盖条件或值是唯一因素的实例和条件或值是多个因素中的一个因素的实例二者。除非另有指示,否则某些集合的“每个”实例具有某些性质的陈述不应被解读为排除较大集合的某些另外相同或相似成员不具有该性质的情况,即,每个集合不一定意指每个且每一个集合。

在某些美国专利、美国专利申请或其他材料(例如,文章)已通过引用被并入的程度上而言,此类美国专利、美国专利申请和其他材料的文本仅在这些材料与本文所述的陈述和附图之间不存在冲突的情况下通过引用被并入。在发生这种冲突的情况下,通过引用并入的美国专利、美国专利申请和其他材料的任何此类冲突文本特别地并不通过引用被并入。

以上描述旨在说明而非限制。因此,对于本领域技术人员显而易见的是,在不脱离下面阐述的权利要求的范围的情况下,可以对所描述的本公开进行修改。

当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1