高温下气体分配装置与衬底支撑件之间的距离测量的制作方法

文档序号:26307601发布日期:2021-08-17 13:48阅读:61来源:国知局
高温下气体分配装置与衬底支撑件之间的距离测量的制作方法

相关申请的交叉引用

本申请要求于2019年1月3日申请的美国专利申请no.16/238,891的优先权。上述引用的申请其全部公开内容都通过引用合并于此。

本公开内容涉及衬底处理室,更具体而言,本公开内容涉及测量气体分配装置与衬底支撑件之间的距离的系统与方法。



背景技术:

这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。

衬底处理系统可用于处理诸如半导体晶片之类的衬底。可以在衬底上执行的示例性处理包括但不限于化学气相沉积(cvd)、原子层沉积(ald)、导体蚀刻和/或其他蚀刻、沉积或清洁处理。衬底可以布置在衬底处理系统的处理室中的衬底支撑件上,衬底支撑件例如基座、静电卡盘(esc)等。

衬底支撑件可以包含布置成支撑衬底的陶瓷层。例如,可以在处理期间将晶片静电夹持到陶瓷层上。



技术实现要素:

在一特征中,一种衬底处理系统包含:激光三角传感器,其被配置成通过衬底处理室的外壁的窗来传输和接收光。控制器被配置成:将所述激光三角传感器定位,使得所述激光三角传感器传输光至布置于衬底支撑件的第一表面和气体分配装置的第二表面之间的测量特征上,其中所述第二表面面对所述第一表面;以及当所述激光三角传感器传输光至所述测量特征上时,基于下列两者之间的差异来确定所述第一表面和所述第二表面之间的第一距离:使用所述激光三角传感器所测得的介于所述激光三角传感器与所述第一表面之间的第二距离;以及使用所述激光三角传感器所测得的介于所述激光三角传感器与所述第二表面之间的第三距离。

在其他特征中,所述测量特征被配置成:当所述激光三角传感器输出光至所述测量特征的第一表面上时,将来自所述激光三角传感器的光反射至所述衬底支撑件的所述第一表面上;以及当所述激光三角传感器输出光至所述测量特征的第二表面上时,将来自所述激光三角传感器的光反射至所述气体分配装置的所述第二表面上。

在其他特征中,所述测量特征包含含有镜面涂膜的刀刃棱镜。

在其他特征中,所述控制器被配置成将所述衬底处理室内的温度增加至大于或等于预定处理温度,其中当所述温度大于或等于所述预定处理温度时,所述控制器被配置成将所述激光三角传感器定位。

在其他特征中,所述预定处理温度为至少80℃。

在其他特征中,调整机构被配置成升高和降低所述气体分配装置的一部分。

在其他特征中,所述控制器被配置成基于所述第一距离而选择性地致动所述调整机构。

在其他特征中,所述控制器被配置成选择性地致动所述调整机构,以将所述第一距离朝向第一目标距离调整。

在其他特征中,所述控制器被进一步配置成:将所述激光三角传感器定位,使得所述激光三角传感器传输光至布置于所述衬底支撑件的所述第一表面和所述气体分配装置的所述第二表面之间的第二测量特征;以及当所述激光三角传感器传输光至所述第二测量特征上时,基于下列两者之间的第二差异来确定所述第一表面和所述第二表面之间的第四距离:使用所述激光三角传感器所测得的介于所述激光三角传感器与所述第一表面之间的第五距离;以及使用所述激光三角传感器所测得的介于所述激光三角传感器与所述第二表面之间的第六距离。

在其他特征中,所述控制器被进一步配置成:将所述激光三角传感器定位,使得所述激光三角传感器传输光至布置于所述衬底支撑件的所述第一表面和所述气体分配装置的所述第二表面之间的第三测量特征;以及当所述激光三角传感器传输光至所述第三测量特征上时,基于下列两者之间的第三差异来确定所述第一表面和所述第二表面之间的第七距离:使用所述激光三角传感器所测得的介于所述激光三角传感器与所述第一表面之间的第八距离;以及使用所述激光三角传感器所测得的介于所述激光三角传感器与所述第二表面之间的第九距离。

在其他特征中,第一调整机构被配置成升高和降低所述气体分配装置上的第一点;第二调整机构被配置成独立于所述第一调整机构而升高和降低所述气体分配装置上的第二点;第三调整机构,其被配置成独立于所述第一调整机构和所述第二调整机构而升高和降低所述气体分配装置上的第三点。

在其他特征中,所述控制器被配置成基于所述第一、第二、以及第三距离中的至少一者而选择性地致动所述第一、第二、以及第三调整机构中的至少一者。

在一特征中,一种衬底处理方法包含:通过激光三角传感器,穿过衬底处理室的外壁的窗来传输和接收光;将所述激光三角传感器定位,使得所述激光三角传感器传输光至布置于衬底支撑件的第一表面和气体分配装置的第二表面之间的测量特征上,其中所述第二表面面对所述第一表面;当所述激光三角传感器传输光至所述测量特征上时,基于下列两者之间的差异来确定所述第一表面和所述第二表面之间的第一距离:使用所述激光三角传感器所测得的介于所述激光三角传感器与所述第一表面之间的第二距离;以及使用所述激光三角传感器所测得的介于所述激光三角传感器与所述第二表面之间的第三距离。

在其他特征中,所述衬底处理方法还包含通过所述测量特征:当所述激光三角传感器输出光至所述测量特征的第一表面上时,将来自所述激光三角传感器的光反射至所述衬底支撑件的所述第一表面上;以及当所述激光三角传感器输出光至所述测量特征的第二表面上时,将来自所述激光三角传感器的光反射至所述气体分配装置的所述第二表面上。

在其他特征中,所述测量特征包含含有镜面涂膜的刀刃棱镜。

在其他特征中,所述衬底处理方法还包含将所述衬底处理室内的温度增加至大于或等于预定处理温度,其中所述定位包含:当所述温度大于或等于所述预定处理温度时,将所述激光三角传感器定位。

在其他特征中,所述预定处理温度为至少80℃。

在其他特征中,所述衬底处理方法还包含:升高和降低所述气体分配装置的一部分。

在其他特征中,所述升高和降低包含基于所述第一距离而对所述气体分配装置的所述部分进行升高和降低中的至少一者。

在其他特征中,所述升高和降低包含对所述气体分配装置的所述部分进行升高和降低中的至少一者,以将所述第一距离朝向第一目标距离调整。

在其他特征中,所述衬底处理方法还包含:将所述激光三角传感器定位,使得所述激光三角传感器传输光至布置于所述衬底支撑件的所述第一表面和所述气体分配装置的所述第二表面之间的第二测量特征;以及当所述激光三角传感器传输光至所述第二测量特征上时,基于下列两者之间的第二差异来确定所述第一表面和所述第二表面之间的第四距离:使用所述激光三角传感器所测得的介于所述激光三角传感器与所述第一表面之间的第五距离;以及使用所述激光三角传感器所测得的介于所述激光三角传感器与所述第二表面之间的第六距离。

在其他特征中,所述衬底处理方法还包含:将所述激光三角传感器定位,使得所述激光三角传感器传输光至布置于所述衬底支撑件的所述第一表面和所述气体分配装置的所述第二表面之间的第三测量特征;以及当所述激光三角传感器传输光至所述第三测量特征上时,基于下列两者之间的第三差异来确定所述第一表面和所述第二表面之间的第七距离:使用所述激光三角传感器所测得的介于所述激光三角传感器与所述第一表面之间的第八距离;以及使用所述激光三角传感器所测得的介于所述激光三角传感器与所述第二表面之间的第九距离。

在其他特征中,所述衬底处理方法还包含:升高和降低所述气体分配装置上的第一点;独立于所述第一点而升高和降低所述气体分配装置上的第二点;独立于所述第一点和所述第二点而升高和降低所述气体分配装置上的第三点。

在其他特征中,所述衬底处理方法还包含基于所述第一、第二、以及第三距离中的至少一者而对所述第一、第二、以及第三点中的至少一者进行升高和降低中的至少一者。

根据详细描述、权利要求和附图,本公开内容的适用性的进一步的范围将变得显而易见。详细描述和具体示例仅用于说明的目的,并非意在限制本公开的范围。

附图说明

根据详细描述和附图将更充分地理解本公开,其中:

图1为包含可升高和降底的气体分配装置的衬底处理系统的示例的功能性框图;

图2为可升高、可降低以及可倾斜的气体分配装置的示例性实施方案;

图3a-3d包含以多种方位来说明可升高、可降低以及可倾斜的气体分配装置的示例;

图4为一示例性方位控制系统;

图5为一示例测量衬底的示图;

图6为一测量特征的示例性实施方案的侧视图;

图7为一示例性距离测量系统的侧视图;

图8a为在测量特征的前缘上方提供光的示例性示图;

图8b包含在测量特征的前缘下方提供光的示例性示图;

图9a-9c包含提供光至不同的测量特征的示例性示图;以及

图10包含一流程图,其描述了在测量特征的位置处确定气体分配装置与衬底支撑件之间的距离的示例方法。

在附图中,可以重复使用附示图记来标识相似和/或相同的元件。

具体实施方式

例如静电卡盘之类的衬底支撑件在衬底处理室中支撑衬底。在处理期间将衬底布置在衬底支撑件上。可以在衬底上执行的处理的示例包含但不限于沉积(例如化学气相沉积(cvd)、原子层沉积(ald)、等离子体增强cvd(pecvd)、等离子体增强ald(peald)等)、蚀刻及清洁。

气体分配装置(例如喷头)在衬底处理室内分配气体。不同的处理可以利用气体分配装置相对于衬底支撑件或相对于布置在衬底支撑件上的衬底的不同目标方位。处理的不同部分也可以利用不同的目标方位。

多个调整机构可被配置成调节气体分配装置的方位。控制器可致动调整机构以达成气体分配装置的目标方位。

虽然不在衬底处理室内进行处理,但是可以在处理室内使用一或多个传感器来测量气体分配装置的方位。然而,这些传感器不适合在处理期间测量气体分配装置的方位,因为传感器的温度额定值小于处理期间的衬底处理室内的温度。

在处理期间的气体分配装置的方位与未进行处理时的方位不同。这可能归因于例如具有不同热膨胀系数的不同部件及/或在处理期间一或多个部件的弯曲。

根据本公开内容,当处理室内的温度大于或等于预定处理温度(例如摄氏80℃或更高)时,距离传感器(例如激光三角传感器)便会测量气体分配装置与衬底支撑件之间的距离。基于该距离,即可调节调整机构以在处理期间达到气体分配装置的目标方位。这样可以升高处理和所得衬底的精确度。

现在参考图1,其显示了包含衬底支撑件104的衬底处理系统100的示例。衬底支撑件104被布置于处理室108内。在衬底112的处理期间,衬底112被布置在衬底支撑件104上。经由一或多个门(例如门116)而将衬底装载到处理室108中以及从处理室108中卸除衬底。

气体输送系统120包含与阀124-1、124-2、…及124-n(统称为阀124)和质量流量控制器126-1、126-2、…和126-n(统称为mfc126)连接的气体源122-1、122-2、…和122-n(统称气体源122)。mfc126控制气体从气体源122流到混合气体的歧管128的流动。

歧管128的输出经由可选的压力调节器132而供应到歧管136。歧管136的输出则被输入到例如喷头之类的气体分配装置140。气体分配装置140在处理室108内分配气体。虽然显示出歧管128和136,但也可以使用单一歧管。歧管136可以整合在气体分配装置140内。气体分配装置140的配置被配置成可竖直调节并且可倾斜,如下文中更详细地描述的。

在一些示例中,可以使用电阻加热器160来控制衬底支撑件104的温度(以及因此控制衬底112的温度)。衬底支撑件104可以包含冷却剂通道164。可以将来自流体贮存器168以及泵170的冷却流体供应到冷却剂通道164。冷却流体可以冷却衬底支撑件(以及因此冷却衬底112)。

压力传感器172和174可以分别布置在歧管128或歧管136中,并测量压力。阀178以及泵180可以从处理室108排出气体和/或控制处理室108内的压力。

控制器182控制从气体输送系统120例如经由气体分配装置140而到达处理室108的气体输送。控制器182使用阀178和泵180来控制处理室中的压力和/或反应物的排出。控制器182基于温度反馈(例如来自衬底支撑件104内的传感器(未显示)和/或测量冷却剂温度的传感器(未示出)而控制了衬底支撑件104和衬底112的温度。

控制器182还控制气体分配装置140的方位。更具体而言,控制器182控制气体分配装置140的升高和降低,以达到气体分配装置140与衬底支撑件104之间的目标距离。

透明窗190被设置于处理室108的壁中。如下文所进一步讨论的,当处理室被加热到至少预定处理温度时,距离传感器194就在多个不同位置处测量气体分配装置140和衬底支撑件104之间的距离。该预定处理室可以处于例如摄氏80℃或更高。致动器198致动距离传感器194以测量距离,如下文所进一步讨论的。

现在参考图2,其提供气体分配装置(例如喷头)140的示例性实施方案的透视图。例如,气体分配装置140可对应于三自由度(dof)的可调节式喷头。

气体分配装置140包含杆部204以及面向等离子体的气体分配板(例如面板)208。杆部204经由套环212而连接至处理室108的上表面。例如,套环212可以包含上板216和下板220。上板216固定地附接到处理室108的上表面。在一些示例中,处理室108的上表面可以用来当作上板216。处理气体经由杆部204而通过入口224提供至气体分配板208。

杆部204连接至下板220,而下板220相对于上板216为可倾斜、可升高、且可降低的。例如,气体分配装置140可以包含调整机构228-1、228-2和228-3(统称“调整机构228”)。例如,调整机构228可以对应于螺丝、线性致动器或其他合适类型的致动器。在螺丝的示例中,调整螺丝会使气体分配装置140倾斜、升高或降低,从而改变气体分配装置140的面向衬底的表面的平面。例如,转动螺丝会导致上板216和下板220的各个部分之间的距离增大和减小,从而使杆部204和气体分配板208相应地移动。虽然提供了三个调整机构的示例,但是气体分配装置140可以包含三个以上的调整机构。

图3a-3d包含气体分配装置140相对于衬底支撑件104在不同位置的示例性示图。在图3a中,显示出气体分配装置140处于非倾斜位置,其中气体分配装置140的面向衬底的表面的平面平行于衬底支撑件104的顶表面的平面。在图3a中,可以调节调整机构228,使得气体分配装置140与衬底支撑件104相距最大距离。相反地,在图3b中,可以调节调整机构228,使得气体分配装置140与衬底支撑件104相距最小距离。在图3b中,显示出气体分配装置140处于非倾斜位置,其中气体分配装置140的面向衬底的表面的平面平行于衬底支撑件104的顶表面的平面。

在图3c和3d中显示了气体分配装置140的倾斜位置,例如在其中气体分配装置140的面向衬底的表面的平面不平行于衬底支撑件104的顶表面的平面。虽然此处提供了气体分配装置140位于衬底支撑件104上方的示例,但是气体分配装置140也可以位于衬底支撑件104下方。

图4包含一示例性方位控制系统的功能性框图。目标控制器404可以被配置成决定气体分配装置140与衬底支撑件104之间的目标距离。例如目标控制器404可以被配置成决定在调整机构228-1位置处的气体分配装置140与衬底支撑件104之间的第一目标距离。目标控制器404也可以决定在调整机构228-2位置处的气体分配装置140与衬底支撑件104之间的第二目标距离。目标控制器404还可以决定在调整机构228-3位置处的气体分配装置140与衬底支撑件104之间的第三目标距离。

在多种实施方案中,第一、第二和第三目标距离可以是预定的固定值,且可以储存在存储器408中。替代地,第一、第二和第三目标距离可以是浮动的,且可以例如由目标控制器404针对所执行的处理来选择。基于所执行的处理,目标控制器404可以从存储器408选择一组第一、第二和第三目标距离。作为目标距离的另一选择,可以使用气体分配装置140的面向衬底的表面的平面和衬底支撑件104的顶表面的平面之间的目标关系。

温度控制器409控制处理室108的加热和冷却。例如,温度控制器409可通过加热器160来控制加热,且通过包含泵170的冷却系统来控制冷却。

当温度控制器409已经加热处理室108而使得处理室108内的温度大于或等于预定的处理温度时,距离传感器194就测量气体分配装置140与衬底支撑件104在第一位置处的两者间的第一距离。当处理室108内的温度大于或等于预定处理温度的期间,距离传感器194还测量气体分配装置140与衬底支撑件104在第二位置处的两者间的第二距离。当处理室108内的温度大于或等于预定处理温度的期间,距离传感器194还测量气体分配装置140与衬底支撑件104在第三位置处的两者间的第三距离。预定处理温度是可校准的,且可以例如大于或等于摄氏80度。

测量控制器410基于来自距离传感器194的测量值来确定该第一、第二和第三距离,如下面进一步所讨论的。致动控制器412可以选择性地致动致动器416-1、416-2以及416-3(统称为“致动器416”),其相应地致动调整机构228。

致动控制器412可以致动致动器416-1,以将第一距离(使用距离传感器194测量)调整到第一目标距离。致动控制器412可以致动致动器416-2,以将第二距离(使用距离传感器194测量)调整到第二目标距离。致动控制器412可以致动致动器416-3,以将第三距离(使用距离传感器194所测量)调整到第三目标距离。

在包含螺丝的调整机构228的示例中,致动器416可包含被配置成分别旋转调整机构228的旋转致动器。替代地,在包含销或另一种类型的线性致动器的调整机构228的示例中,致动器416可包含被配置成上下线性致动调整机构228的线性致动器。然而,致动器416可以是另一种类型的致动器。

在多种实施方案中,可以省略致动器416。目标控制器404可以在用户界面420(例如显示器)上显示第一、第二和第三目标距离。目标控制器404还可以在用户界面420(例如显示器)上显示使用距离传感器194所测量到的第一、第二和第三距离。用户可以基于用户界面420上所提供的信息来手动致动调整机构228。

距离传感器194可以利用测量衬底来测量气体分配装置140与衬底支撑件104之间的第一、第二和第三距离。图5包含测量衬底504的示例性示图。

测量衬底504在分别对应于第一、第二和第三位置的位置处包含第一、第二和第三测量特征508-1、508-2和508-3(统称为“测量特征508”)。测量特征508之间的间隔可以使得测量特征508可以分别(竖直)定位在调整机构228的正下方。控制器182可以控制机械手以将测量衬底504装载到衬底支撑件104上,使得测量特征508分别竖直地位于调整机构228下方。替代地,可以将测量衬底504手动地装载到衬底支撑件104上。

第一、第二和第三测量特征508可以例如位于(例如粘附到)测量衬底504的上表面上或嵌入在测量衬底504中。在多种实施方案中,测量特征508可以嵌入在测量衬底504内,使得测量特征508将光反射通过测量衬底504并到达衬底支撑件104。

图6包含第一测量特征508-1的示例性实施方案的侧视图。第二和第三测量特征508-2和508-3可以与第一测量特征508-1相同。第一、第二和第三测量特征508可以包含在其外表面上具有镜面涂膜的刀刃棱镜。镜面涂膜在高至预定距离以及高至预定温度下为反射性的。该预定距离可以是例如650纳米(nm)或另一合适的距离。预定温度可以是例如650摄氏度或另一合适的温度。例如,镜面涂膜可以包含蓝宝石或其他合适的材料。虽然可以使用其他尺寸和形状,但是示例性实施方案的尺寸可以是高度8毫米(mm)、厚度10mm、长度12.5mm以及角度(θ)45度。该示例性示图可以按比例缩放,也可以不按比例缩放。尽管提供了一个示例,但第一、第二和第三测量特征508-1、508-2和508-3可以具有不同的形状和/或尺寸。

当气体分配装置140的面向衬底的表面的平面和衬底支撑件104的顶表面的平面平行时,第一、第二和第三测量特征508被配置成沿垂直于气体分配装置140的面向衬底的表面的平面以及衬底支撑件104的顶表面的平面的方向来反射光。例如,第一测量特征508-1包含第一反射面604和第二反射面608。第一反射面604将接收到的光朝气体分配装置140反射。第二反射面608则朝着衬底支撑件104反射光。

图7包含示例性距离测量系统的侧视图。为简单起见,在图7中仅显示出气体分配板208、衬底支撑件104和测量衬底504的一部分。

距离传感器194可以包含例如激光三角传感器。仅作为示例,距离传感器194可以是keyence的激光三角传感器型号lk-g502、keyence的激光三角传感器型号lk-g507、或另一合适的三角测量传感器或另一光学距离或位移传感器。距离传感器194可以具有小于一微米(μm)的测量分辨率、小于12.7μm-3σ的测量重复性以及在1000mm的距离内的小于1mm的探针(光)点尺寸(例如直径)。尽管提供了示例特性,但是距离传感器194可以具有其他合适的特性。

致动器198致动距离传感器194以测量第一、第二和第三距离。致动器198被配置成升高和降低距离传感器194并且旋转距离传感器194。例如位置控制器704之类的控制器182控制致动器198,从而控制距离传感器194的定位。

例如,距离传感器194可以安装在板712上。致动器198可以经由轴716来旋转板712以旋转距离传感器194。致动器198可以升高和降低板712,以升高和降低距离传感器194。在多种实施方案中,第一致动器可以升高和降低距离传感器194,且第二致动器可以旋转距离传感器194。

距离传感器194包含光源720,例如固态激光光源(例如激光二极管)。光源720沿预定方向输出光(例如激光束)。在多种实施方案中,光源720可以通过第一透镜724输出光。

距离传感器194的旋转会使光源720输出的光左右(旋转)扫描。距离传感器194的上下移动则会使光源720输出的光竖直向上以及向下扫描。

距离传感器194还包含检测器728,其基于反射回距离传感器194的光而输出介于距离传感器194与接收光源720输出的光的物体之间的距离。更具体而言,检测器728基于光从物体反射回检测器728上的位置而产生该距离。检测器728可以包含例如互补金属氧化物半导体(cmos)检测器、电荷耦合装置(ccd)检测器、位置灵敏二极管(psd)检测器或另一种合适类型的检测器。在多种实施方案中,反射光可以经由第二透镜732而提供到检测器728上。输出光和反射光会行进通过处理室108的窗190。

为了确定第一距离,位置控制器704旋转距离传感器194以将光输出到第一测量特征508-1。位置控制器704可以旋转距离传感器194,使得距离传感器194以垂直(正交)于平面的方向输出光,该平面从第一测量特征508-1的前缘736竖直地(垂直地)延伸。位置控制器704还升高或降低距离传感器194,使得距离传感器194输出光到以下一者:前缘736上方;和前缘736下方。在升高或降低距离传感器194以将光输出到前缘736上方和前缘736下方两者中的一者后,位置控制器704就升高或降低距离传感器194,使得距离传感器194将光输出至前缘736上方和前缘736下方中的另一者。

图8a包含在前缘736上方提供光的示例性示图。图8b包含在前缘736下方提供光的示例性示图。

当光输出至前缘736上方时,第一测量特征508-1将光向上反射,接着距离传感器194就测量距离传感器194与气体分配装置140的面向衬底的表面之间的向上距离。当光输出至前缘736下方时,第一测量特征508-1将光向下反射,接着距离传感器194就测量距离传感器194与测量衬底504或衬底支撑件104的顶表面之间的向下距离。如果测量特征508直接设置在衬底支撑件104上或将光反射通过测量衬底504,则当光输出至前缘736下方,测量特征508便将光向下反射,接着距离传感器194就测量距离传感器194与衬底支撑件104的顶表面之间的向下距离。

测量控制器410接收来自距离传感器194的在第一测量特征508-1处所确定的向上距离及向下距离。测量控制器410基于该向上距离和该向下距离之间的差异而确定第一距离。例如,测量控制器410可以将第一距离设定为向上距离减去向下距离。

在将距离传感器194定位以确定第一距离之前或之后,位置控制器704还使用第二测量特征508-2来确定第二距离,并使用第三测量特征508-3来确定第三距离。位置控制器704按任意顺序来确定第一、第二和第三距离。以针对第一测量特征508-1所采取的相同方式,位置控制器704移动距离感测器194以将光输出至第二和第三测量特征508-2和508-3,以分别确定第二和第三距离。这包含将距离传感器194旋转到第二和第三测量特征508-2和508-3中的每一者,并且升高和降低距离传感器194以在第二和第三测量特征508-2和508-3的前缘上方和下方输出光。

图9a包含将距离传感器194旋转至面对第一测量特征508-1以测量第一距离的示例性俯视图。图9b包含将距离传感器194旋转至面对第二测量特征508-2以测量第二距离的示例性俯视图。图9c包含将距离传感器194旋转至面对第三测量特征508-3以测量第三距离的示例性俯视图。

图10包含一流程图,其描述确定第一、第二和第三距离并将气体分配装置140调节到第一、第二和第三目标距离的示例性方法。当测量特征508布置在处理室108内的衬底支撑件104上时,控制开始。测量特征508可直接定位在衬底支撑件104上或位于测量衬底504上或之内。

在1004,温度控制器409加热处理室108(例如通过将功率施加到加热器160),使得处理室108内的温度大于或等于预定处理温度(例如摄氏80度)。温度控制器409将温度维持在大于或等于预定处理温度,直到控制结束。

在1008,位置控制器704致动致动器198以将由距离感测器194输出的光对准第一测量特征508-1。这包含在第一次时将距离传感器194输出的光瞄准于第一测量特征508-1的前缘上方、以及在第二次时将距离感测器194输出的光瞄准于第一测量特征508-1的前缘736下方。当由距离传感器194输出的光对准第一测量特征508-1的前缘736上方时,距离传感器194测量第一向上距离。当由距离传感器194输出的光对准第一测量特征508-1的前缘736下方时,距离传感器194测量第一向下距离。位置控制器704致动致动器198以旋转距离传感器194以及升高和降低距离传感器194。

在1012,测量控制器410基于第一向上距离和第一向下距离之间的差异来确定气体分配装置140的面向衬底的表面与衬底支撑件104(或测量衬底504)之间的第一距离。例如,测量控制器410可以将第一距离设定为基于或等于第一向上距离减去第一向下距离。

在1016,位置控制器704致动致动器198以将距离传感器194输出的光对准第二测量特征508-2。这包含在第三次时将距离传感器194输出的光瞄准于第二测量特征508-2的前缘上方、以及在第四次时将距离感测器194输出的光瞄准于第二测量特征508-2的前缘下方。当由距离传感器194输出的光对准第二测量特征508-2的前缘上方时,距离传感器194测量第二向上距离。当由距离传感器194输出的光对准第二测量特征508-2的前缘736下方时,距离传感器194测量第二向下距离。位置控制器704致动致动器198以旋转距离传感器194以及升高和降低距离传感器194。

在1020,测量控制器410基于第二向上距离和第二向下距离之间的差异来确定气体分配装置140的面向衬底的表面与衬底支撑件104(或测量衬底504)之间的第二距离。例如,测量控制器410可以将第二距离设定为基于或等于第二向上距离减去第二向下距离。

在1024,位置控制器704致动致动器198以将距离感测器194输出的光对准第三测量特征508-3。这包含在第五次时将距离传感器194输出的光瞄准于第三测量特征508-3的前缘上方、以及在第六次时将距离感测器194输出的光瞄准于第三测量特征508-3的前缘下方。当由距离传感器194输出的光对准第三测量特征508-3的前缘上方时,距离传感器194测量第三向上距离。当由距离传感器194输出的光对准第三测量特征508-3的前缘下方时,距离传感器194测量第三向下距离。位置控制器704致动致动器198以旋转距离传感器194以及升高和降低距离传感器194。

在1028,测量控制器410基于第三向上距离和第三向下距离之间的差异来确定气体分配装置140的面向衬底的表面与衬底支撑件104(或测量衬底504)之间的第三距离。例如,测量控制器410可以将第三距离设定为基于或等于第三向上距离减去第三向下距离。

在1032,致动控制器412可以确定第一、第二和第三距离是否都分别落在第一、第二和第三目标距离的预定量内。预定量可以被校准且可以例如为1μm或更小。如果1032结果为否,则在1036,致动控制器412可以调节一或多个调整机构228,以将第一、第二和第三距离分别调节到落在第一、第二和第三目标距离的预定量内。该控制可以返回到1008。如果1032结果为是,则控制可以结束。

在多种实施方案中,可以省略1032。第一、第二和第三距离以及第一、第二和第三目标距离可以显示在用户界面420上。用户可以手动调节一或多个调整机构228以调节第一、第二和第三距离并使其分别落在第一、第二和第三目标距离的预定量内。

前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方案在上面被描述为具有某些特征,但是相对于本公开的任何实施方案描述的那些特征中的任何一个或多个,可以在任何其它实施方案的特征中实现和/或与任何其它实施方案的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方案不是相互排斥的,并且一个或多个实施方案彼此的置换保持在本公开的范围内。

使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“a、b和c中的至少一个”应当被解释为意味着使用非排他性逻辑或(or)的逻辑(a或b或c),并且不应被解释为表示“a中的至少一个、b中的至少一个和c中的至少一个”。

在一些实施方案中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何处理,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(rf)产生器设置、rf匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。

概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(dsp)、定义为专用集成电路(asic)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。

在一些实施方案中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供处理配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的处理的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的处理和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的处理。

示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(pvd)室或模块、化学气相沉积(cvd)室或模块、原子层沉积(ald)室或模块、原子层蚀刻(ale)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。

如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1