基于fpga的合成孔径波束合成器的制造方法

文档序号:6227290阅读:184来源:国知局
基于fpga的合成孔径波束合成器的制造方法
【专利摘要】本发明涉及一种基于FPGA的合成孔径波束合成器,该合成器具有很高的集成度,能对多种扫描方式的回波进行波束合成。该合成孔径波束合成器包括数据接收单元、存储单元1、计算单元、存储单元2、USB通讯模块;所述合成孔径波束合成器的数据接收单元与存储单元1相连,计算单元与存储单元1和存储单元2相连,USB通讯模块和存储单元2相连;基于PFGA的合成孔径波束合成器中的计算单元利用参数可编程的合成孔径算法对接收到的回波数据进行图像重建。因为采用FPGA技术,体积小,集成度高,更适合现场应用;同时FPGA具有现场可编程的特点,所以本波束合成器可以根据具体应用进行参数灵活设置;适用于合成孔径多种成像方法。
【专利说明】基于FPGA的合成孔径波束合成器
【技术领域】
[0001]本发明涉及一种基于FPGA的合成孔径波束合成器,该合成器具有很高的集成度,能对多种扫描方式的回波进行波束合成。
【背景技术】
[0002]现场可编程逻辑门阵列(FieldProgrammableGateArray, FPGA),是一个含有可编辑元件的半导体设备,可供使用者现场程序化的逻辑门阵列元件。FPGA是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。其作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。
[0003]合成孔径聚焦超声成像作为一种超声后处理方法,能够将小孔径成像合成为大孔径成像,通过逐点聚焦的方法,使图像的分辨率不随位置和深度变化。在采用相同换能器阵元的情况下,使用合成孔径聚焦方法能够得到更高分辨率的重建图像,为缺陷的定性分析提供更可靠的依据。

【发明内容】

[0004]本发明的目的在于提供了基于FPGA的合成孔径波束合成器,基于FPGA实现的合成孔径波束合成器可以减小合成孔径超声检测系统的体积,提高电路的集成度,使之更适合于便携式的应用。
[0005]为实现上述目的,本发明所采用的技术方案为基于FPGA的合成孔径波束合成器,如图1所示,该合成孔径波束合成器包括数据接收单元、存储单元1、计算单元、存储单元2、USB通讯模块;所述数据接收单元用于同A/D转换芯片进行接口 ;所述存储单元I用来存储回波数据;所述计算单元用于对回波数据进行波束合成;所述存储单元2用来存储波束合成数据;USB通讯模块用于将波束合成数据输出给显示模块;所述合成孔径波束合成器的数据接收单元与存储单元I相连,计算单元与存储单元I和存储单元2相连,USB通讯模块和存储单元2相连;所述的基于PFGA的合成孔径波束合成器中的计算单元利用参数可编程的合成孔径算法对接收到的回波数据进行图像重建。
[0006]数据接收单元一端与AD转换单元中的AD转换芯片的读写串行总线相连,另一端与存储单元I的ROM的写端口相连;计算单元一端与存储单元I的读端口相连,另一端与存储单元2的ROM的写端口相连;USB通讯模块一端与存储单元2的ROM的读端口相连,另一端通过USB总线与上位机相连。
[0007]该波束合成模块的数据接收单元、存储单元1、计算单元、存储单元2、USB通讯模块全部用FPGA来实现。
[0008]本波束合成器采用Xilinx公司的Virtex4系列中XC4VLX80芯片来实现,该芯片内包含3600Kb的RAM块,存储单元1、存储单元2的存储功能可基于这些RAM块实现,另外计算单元中的加法器和乘法器通过使用片上DSP资源来实现;所述的计算单元可以利用合成孔径算法对合成发射孔径、合成接收孔径、多阵元合成孔径聚焦方法产生的扫描回波数据进行波束合成。
[0009]与现有技术相比,本发明具有如下有益效果。
[0010]1、因为采用FPGA技术,体积小,集成度高,更适合现场应用。
[0011]2、同时FPGA具有现场可编程的特点,所以本波束合成器可以根据具体应用进行参数灵活设置。
[0012]3、适用于合成孔径多种成像方法。
【专利附图】

【附图说明】
[0013]图1是本发明的系统框图。
[0014]图2是本发明实施例示意图。
【具体实施方式】
[0015]下面将结合附图及实施例对本发明作进一步说明。
[0016]本系统地应用实例如图2所示。图中所示为一个合成孔径超声检测系统,换能器阵列与发射模块和接收模块相连,发射模块包含发射超声波的驱动电路,接收模块包含接收超声信号的电路,发射/接收控制单元也与发射模块和接收模块相连,用来控制超声的发射和接收过程。波束合成器与接收模块相连,将接收到的回波信号根据合成孔径聚焦算法进行图像重建。上位机与波束合成器通过USB总线相连,用来接收回波波形并显示。
[0017]选用的换能器阵列外形尺寸:59.9X 10mm,换能器间距阵元数:60,换能器间距:
0.1mm,换能器宽度:0.9mm,这样,换能器阵元的中心间距就是1mm。发射信号中心频率为5MHz,接收信号采样频率为IOOMHz。
[0018]发射模块由发射超声激励电路组成,超声激励电路输出激励电压,空载时为145V,带载时为131V。
[0019]接收模块由信号放大电路和模数转换(ADC)电路组成。信号放大电路对换能器输出的超声信号进行放大和阻抗变换,模数转换电路的采样频率为20MHz,模拟输入通道为四通道,数据宽度为12bit。
[0020]发射/接收控制单元以及波束合成器都由FPGA来实现。选用的FPGA芯片包含80,640个逻辑单元,片上有3,600Kb的存储单元,最大的I/O 口数量为768个。
[0021]波束合成器中的USB通讯模块选用USBdevice的IP核来实现。
[0022]利用本系统对距离扫描平面IOcm的模拟缺陷点进行扫描,缺陷为直径为Imm的通孔。
[0023]通过扫描的回波重建图像,利用分贝下降法对比波束合成器处理前后的分辨率,分辨率提高了 9%,取得了理想的效果。
【权利要求】
1.基于FPGA的合成孔径波束合成器,其特征在于:该合成孔径波束合成器包括数据接收单元、存储单元1、计算单元、存储单元2、USB通讯模块;所述数据接收单元用于同A/D转换芯片进行接口 ;所述存储单元I用来存储回波数据;所述计算单元用于对回波数据进行波束合成;所述存储单元2用来存储波束合成数据;USB通讯模块用于将波束合成数据输出给显示模块;所述合成孔径波束合成器的数据接收单元与存储单元I相连,计算单元与存储单元I和存储单元2相连,USB通讯模块和存储单元2相连;所述的基于PFGA的合成孔径波束合成器中的计算单元利用参数可编程的合成孔径算法对接收到的回波数据进行图像重建。
2.根据权利要求1所述的基于FPGA的合成孔径波束合成器,其特征在于:数据接收单元一端与AD转换单元中的AD转换芯片的读写串行总线相连,另一端与存储单元I的ROM的写端口相连;计算单元一端与存储单元I的读端口相连,另一端与存储单元2的ROM的写端口相连;USB通讯模块一端与存储单元2的ROM的读端口相连,另一端通过USB总线与上位机相连; 该波束合成模块的数据接收单元、存储单元1、计算单元、存储单元2、USB通讯模块全部用FPGA来实现; 本波束合成器采用Xilinx公司的Virtex4系列中XC4VLX80芯片来实现,该芯片内包含3600Kb的RAM块,存储单元1、存储单元2的存储功能可基于这些RAM块实现,另外计算单元中的加法器和乘法器通过使用片上DSP资源来实现;所述的计算单元可以利用合成孔径算法对合成发射孔径、合成接收孔径、多阵元合成孔径聚焦方法产生的扫描回波数据进行波束合成。
3.根据权利要求1所述的基于FPGA的合成孔径波束合成器,其特征在于:一个合成孔径超声检测系统,换能器阵列与发射模块和接收模块相连,发射模块包含发射超声波的驱动电路,接收模块包含接收超声信号的电路,发射/接收控制单元也与发射模块和接收模块相连,用来控制超声的发射和接收过程;波束合成器与接收模块相连,将接收到的回波信号根据合成孔径聚焦算法进行图像重建;上位机与波束合成器通过USB总线相连,用来接收回波波形并显示; 选用的换能器阵列外形尺寸:59.9X 10mm,换能器间距阵元数:60,换能器间距:.0.1mm,换能器宽度:0.9mm,这样,换能器阵元的中心间距就是Imm ;发射信号中心频率为.5MHz,接收信号采样频率为IOOMHz ; 发射模块由发射超声激励电路组成,超声激励电路输出激励电压,空载时为145V,带载时为131V ; 接收模块由信号放大电路和模数转换电路组成;信号放大电路对换能器输出的超声信号进行放大和阻抗变换,模数转换电路的采样频率为20MHz,模拟输入通道为四通道,数据宽度为12bit ; 发射/接收控制单元以及波束合成器都由FPGA来实现;选用的FPGA芯片包含80,640个逻辑单元,片上有3,600Kb的存储单元,最大的I/O 口数量为768个; 波束合成器中的USB通讯模块选用USBdevice的IP核来实现。
【文档编号】G01S7/52GK104020462SQ201410205545
【公开日】2014年9月3日 申请日期:2014年5月15日 优先权日:2014年5月15日
【发明者】杜英华, 祈欣 申请人:北京化工大学
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1