脉冲信号发生测试一体装置的制作方法

文档序号:15106316发布日期:2018-08-04 16:58阅读:433来源:国知局

本实用新型涉及信号处理参数测试领域,尤其涉及一种脉冲信号发生测试一体装置。



背景技术:

脉冲信号在现代测试与控制系统中扮演着十分重要的角色,它既可作为许多传感器的直接或间接输出信号,在后续的测试电路或二次仪表中均可通过测试脉冲信号参数来获得位移、转角、位置等被测信息;又可作为控制系统的直接控制源信号,在控制系统的开发、调试和检验环节中,脉冲信号可用来模拟传感器输出信号或控制系统的控制信号。随着电子科技的高速发展,对于脉冲信号测量精度的相关要求也越来越高,对脉冲信号参数测量的仪器应用也越来越广泛。目前市面功能完备的脉冲信号高精度参数测量设备体积较大,造价较高,因此,开发一种低成本的便携式脉冲信号的测量装置具有重要的现实意义。



技术实现要素:

本实用新型为解决现有技术存在的问题,提出一种成本低廉、实用性强、精度高的脉冲信号发生测试一体装置。

本实用新型采用以下技术方案:

一种脉冲信号发生测试一体装置,其特征在于,包括:矩形脉冲信号发生模块、频率与占空比测量模块、脉冲幅度测量模块、上升时间测量模块、电源模块、参数设置模块和显示模块;所述矩形脉冲信号发生模块包括:正弦波信号发生器、高速比较器F和上拉电阻模块,用于产生待测矩形脉冲信号;所述频率与占空比测量模块包括:宽带放大器、高速比较器A和频率与占空比计算模块;所述脉冲幅度测量模块包括直流电压输出控制模块、数模转换器A、直流放大模块和高速比较器B;所述上升时间测量模块包括直流电压输出控制模块、数模转换器B、高速比较器C、高速比较器D、倍频模块和时间间隔计算模块。

优选地,所述正弦波信号发生器包括MSP430单片机B和AD9854,所述MSP430单片机通过并行口控制AD9854输出稳定正弦波信号;所述高速比较器F为TLV3501;所述上拉电阻模块将待测矩形脉冲信号在50欧的负载条件下,输出电压稳定至5V。

优选地,所述宽带放大器为OPA847;所述高速比较器A为TLV3501;所述频率与占空比计算模块设置在FPGA上。

优选地,所述直流电压输出控制模块设置在MSP430单片机A上;所述数模转换器A为DAC7612;所述直流电压输出控制模块控制所述数模转换器A输出直流电压;所述直流放大模块为TL082;所述高速比较器B为TLV3501。

优选地,所述直流电压输出控制模块设置在MSP430单片机A上;所述数模转换器B为DAC7612;所述直流电压输出控制模块控制所述数模转换器B输出直流电压;所述高速比较器C为TLV3501;所述高速比较器D为TLV3501;所述倍频模块和时间间隔计算模块设置在FPGA上;所述倍频模块是FPGA的数字锁相环,将系统时钟从50MHz倍频至800MHz。

优选地,所述电源模块可提供的供电电压包括:3.3V、5V和12V。

优选地,所述MSP430单片机A与所述FPGA之间采用全双工通信。

本实用新型构建了一种脉冲信号发生测试一体装置,不仅可做为脉冲信号发生器提供实验验证,更能够提供高速高精度的脉冲信号参数测量,功能丰富,成本低廉,轻巧便捷。

附图说明

下面结合附图和具体实施方式对本实用新型进一步详细的说明:

图1是本实用新型实施例中各装置及模块关系示意图。

具体实施方式

为让本专利的特征和优点能更明显易懂,下文特举实施例,并配合附图,作详细说明如下:

如图1所示,本实施例装置采用MSP430单片机作为控制核心,FPGA作为信号处理和计算的核心,其装置模块包括:矩形脉冲信号发生模块、频率与占空比测量模块、脉冲幅度测量模块、上升时间测量模块、电源模块、参数设置模块和显示模块。

其中,矩形脉冲信号发生模块包括:正弦波信号发生器、高速比较器F和上拉电阻模块,用于产生待测矩形脉冲信号;频率与占空比测量模块包括:宽带放大器、高速比较器A和频率与占空比计算模块;脉冲幅度测量模块包括直流电压输出控制模块、数模转换器A、直流放大模块和高速比较器B;上升时间测量模块包括直流电压输出控制模块、数模转换器B、高速比较器C、高速比较器D、倍频模块和时间间隔计算模块。

具体地,正弦波信号发生器包括MSP430单片机B和AD9854,MSP430单片机通过并行口控制AD9854输出稳定正弦波信号;高速比较器F为TLV3501;上拉电阻模块将待测矩形脉冲信号在50欧的负载条件下,输出电压稳定至5V。

具体地,宽带放大器为OPA847;高速比较器A为TLV3501;频率与占空比计算模块设置在FPGA上。

具体地,直流电压输出控制模块设置在MSP430单片机A上;数模转换器A为DAC7612;直流电压输出控制模块控制数模转换器A输出直流电压;直流放大模块为TL082;高速比较器B为TLV3501。

具体地,直流电压输出控制模块设置在MSP430单片机A上;数模转换器B为DAC7612;直流电压输出控制模块控制数模转换器B输出直流电压;高速比较器C和高速比较器D均为TLV3501;倍频模块和时间间隔计算模块设置在FPGA上;倍频模块是FPGA的数字锁相环,将系统时钟从50MHz倍频至800MHz。

具体地,电源模块可提供的供电电压包括:3.3V、5V和12V。

具体地,MSP430单片机A与FPGA之间采用SPI全双工通信。

本实施例中,各项测试型号和数据最终通过显示模块(OLED12864)显示。

本实施例具体采用的两个单片机均为MSP430F5529,MSP430F5529采用16位精简指令系统RISC,集成多个20位寄存器和常数发生器,可参与多种运算,并具有丰富的中断源,支持SPI、I2C等多种通信方式,本实施例中,MSP430F5529单片机与FPGA之间采用SPI全双工通信,传输速度可达几Mb/s,具有处理速度快,低功耗,性价比高等优点。

本实施例中FPGA采用ALTERA公司的FPGA CycloneIV系列的EP4E6E22C8型号作为信号处理单元,由于被测信号频率范围广、间隔时间小、测量功能多,此芯片内集成了大量的逻辑功能块,如存储器块、DSP块、数字锁相环、硬件乘法器等,可满足信号的高速处理,保障结果的精密性与可靠性。

本实施例的频率与占空比测量模块在频率与占空比测量中,将待测矩形脉冲信号经过OPA847高速运放,输入TLV3501,整形调理成控制器可识别的幅段(0-3.3V)的方波信号,送入FPGA中实现高精度测量频率与占空比。

其具体过程为:待测脉冲小信号将被调理至200mV以上,再通过LM339比较器和施密特触发器得到处理送入FPGA测频。

之后,FPGA的数字锁相环将系统时钟从50MHz倍频至200MHz,通过单周期计数与等精度频率测量法分别对低频信号与高频信号进行测量,也可通过计数法完成对占空比、时间长度的测量:当待测信号为高频信号时,采用等精度测频法,在设定时间对标准脉冲信号及整形得到的方波信号进行计数分别为、,利用公式测得精确频率;当待测信号为低频信号时,采用单周期计数测频法,由单片机控制FPGA 的分频系数,通过设置FPGA晶振频率得到每一个信号周期为,从硬件电路处理过的方波信号第一个上升沿开始,计数FPGA 的上升沿,直到方波信号的第个上升沿停止,FPGA的上升沿个数为,利用公式测得精确频率。

而占空比测量采用直接脉宽测量。脉宽测量通常采用脉冲计数法,即在待测信号的高电平或低电平用高频时钟脉冲进行计数,然后根据脉冲个数计算待测信号宽度。则高电平计数值为,低电平为,则占空比为:。

本实施例的脉冲幅度测量模块在脉冲幅度测量过程中:单片机控制DAC7612不断输出直流电压作为TLV3501的比较电压,由于DAC7612的最大输出电压为4.095V,即最多可测量幅度小于4V的脉冲信号,因此利用TL082运算放大器实现D/A输出直流电平的放大。当DAC7612输出电压降低到脉冲信号幅度时,TLV3501可输出周期脉冲信号。

本实施例的上升时间测量模块在上升时间的测量过程中:将脉冲幅度的测量结果经过单片机控制DAC7612分别转换至10%及90%幅值的直流比较电平,待测脉冲信号分别通过高速比较器C、高速比较器D比较从而实现将上升沿转换为两路方波信号,利用FPGA的数字锁相环将系统时钟从50MHz倍频至800MHz,对两路信号时间间隔进行计算从而测得精确的上升沿时间。

本实施例的矩形脉冲信号发生模块中,采用数字合成器AD9854作为产生DDS正弦波信号源,利用单片机MSP430F5529通过并行口控制AD9845输出1MHz的稳定正弦波信号,再通过TLV3501产生过冲小于2%、占空比为10%的标准矩形脉冲信号。

本实施例在50 输入阻抗的条件下,能够测量的脉冲信号为:频率测量范围10 Hz~2 MHz,测量误差的绝对值不大于0.1%;占空比测量范围为10%~90%,测量误差的绝对值不大于2%;幅度范围0.1~10 V,测量误差的绝对值不大于2%。同时所产生的矩形脉冲信号上升时间控制在30ns 以内,并且使其过冲不大于5%。

本专利不局限于上述最佳实施方式,任何人在本专利的启示下都可以得出其它各种形式的脉冲信号发生测试一体装置,凡依本实用新型申请专利范围所做的均等变化与修饰,皆应属本专利的涵盖范围。

当前第1页1 2 3 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1