用于使半导体制造设备的用户交互自动化的系统和方法与流程

文档序号:17424521发布日期:2019-04-17 02:41阅读:185来源:国知局
用于使半导体制造设备的用户交互自动化的系统和方法与流程

本申请要求于2017年9月11日提交的美国临时申请号62/556,733的权益。上述申请的全部公开内容通过引用并入本文。

本公开一般地涉及衬底处理装置,并且更具体地涉及通过人工智能使半导体制造设备的用户交互自动化。



背景技术:

这里提供的背景描述是为了一般地呈现本公开的背景的目的。在本背景技术部分以及在提交时不会以其他方式认为是现有技术的描述的方面中描述的程度上,目前署名的发明人的工作既不明确地也不隐含地被承认为针对本公开的现有技术。

衬底处理系统通常包括多个处理室(也称为处理模块),以对诸如半导体晶片之类的衬底进行沉积、蚀刻和其他处理。在处理期间,将衬底布置在衬底处理系统的处理室中的衬底支撑件上。在沉积期间,将包括一种或多种前体的气体混合物引入处理室,并且可以激励等离子体以激活化学反应。在蚀刻期间,引入包括蚀刻气体的气体混合物,并且可以撞击等离子体以激活化学反应。计算机控制的机械手通常按照顺序将半导体衬底从一处理室转移到另一处理室,半导体衬底将在该另一处理室进行处理。



技术实现要素:

一种系统包括接口和控制器。所述接口被配置为接收衬底处理工具的状态,所述衬底处理工具包括被配置为处理衬底的多个处理模块。所述控制器被配置为将所述状态与先前由所述衬底处理工具基于所述状态从所述接口接收的输入关联,并且基于该关联产生输出以控制所述衬底处理工具。

在其他特征中,所述控制器被配置为将所述衬底处理工具的多个状态以及由所述衬底处理工具基于所述多个状态接收的相应输入存储在数据库中,并且使用所述数据库执行所述关联。

在其他特征中,所述控制器被配置为基于与所述衬底处理工具和附加的衬底处理工具中的一个或多个相关的历史数据来更新所述数据库,并且使用所更新的所述数据库来执行所述关联。

在其他特征中,所述控制器被配置为将与附加的衬底处理工具相关的数据存储在所述数据库中,所述数据包括所述附加的衬底处理工具的状态以及由所述附加的衬底处理工具基于所述状态接收的相应输入,并且使用所述数据来执行所述关联。

在其他特征中,所述衬底处理工具的所述状态包括指示与所述衬底处理工具相关的错误的数据,并且所述输入包括指示对所述错误的响应的数据。

在其他特征中,所述衬底处理工具的所述状态包括指示与所述衬底处理工具相关的即将发生的错误的数据,并且所述输入包括指示用以防止所述错误的响应的数据。

在其他特征中,所述控制器被配置为检测所述衬底处理工具的操作者的存在,并根据由所述操作者基于所述输出接收的响应来控制所述衬底处理工具。

在其他特征中,所述控制器被配置为检测所述衬底处理工具的操作者的不存在,并且基于所述输出在不存在所述操作者的情况下控制所述衬底处理工具。

在其他特征中,所述控制器被配置为检测所述衬底处理工具的操作者的不存在,并且将有关所述输出通知所述操作者。

在其他特征中,所述控制器被配置为基于所述输出来控制所述衬底处理工具,以确保完成对所述衬底的处理,防止对所述衬底的损坏,以及防止所述处理模块的空转。

在其他特征中,所述控制器被配置为基于所述输出控制所述衬底处理工具,以优化所述处理模块对所述衬底的处理的调度。

在还有的其他特征中,一种方法包括接收衬底处理工具的状态,所述衬底处理工具包括被配置为处理衬底的多个处理模块。所述方法还包括将所述状态与先前由所述衬底处理工具基于所述状态而接收的输入关联。所述方法还包括基于该关联产生输出以控制所述衬底处理工具。

在其他特征中,所述方法还包括将所述衬底处理工具的多个状态以及由所述衬底处理工具基于所述多个状态接收的相应输入存储在数据库中。所述方法还包括使用所述数据库执行所述关联。

在其他特征中,所述方法还包括基于与所述衬底处理工具和附加的衬底处理工具中的一个或多个相关的历史数据来更新所述数据库。所述方法还包括使用所更新的所述数据库来执行所述关联。

在其他特征中,所述方法还包括将与附加的衬底处理工具相关的数据存储在所述数据库中,所述数据包括所述附加的衬底处理工具的状态以及由所述附加的衬底处理工具基于所述状态接收的相应输入。所述方法还包括使用所述数据来执行所述关联。

在其他特征中,所述衬底处理工具的所述状态包括指示与所述衬底处理工具相关的错误的数据,并且所述输入包括指示对所述错误的响应的数据。

在其他特征中,所述衬底处理工具的所述状态包括指示与所述衬底处理工具相关的即将发生的错误的数据,并且所述输入包括指示用以防止所述错误的响应的数据。

在其他特征中,所述方法还包括检测所述衬底处理工具的操作者的存在。所述方法还包括根据由所述操作者基于所述输出接收的响应来控制所述衬底处理工具。

在其他特征中,所述方法还包括检测所述衬底处理工具的操作者的不存在,所述方法还包括基于所述输出在不存在所述操作者的情况下控制所述衬底处理工具。

在其他特征中,所述方法还包括检测所述衬底处理工具的操作者的不存在。所述方法还包括将有关所述输出通知所述操作者。

在其他特征中,所述方法还包括基于所述输出来控制所述衬底处理工具,以确保完成对所述衬底的处理,防止对所述衬底的损坏,以及防止所述处理模块的空转。

在其他特征中,所述方法还包括基于所述输出控制所述衬底处理工具,以优化所述处理模块对所述衬底的处理的调度。

在还有的其他特征中,一种系统包括处理器和存储在有形机器可读介质上的机器可读指令。所述机器可读指令当由处理器执行时将所述处理器配置为接收衬底处理工具的状态,所述衬底处理工具包括被配置为处理衬底的多个处理模块。所述机器可读指令将所述处理器配置为将所述状态与先前由所述衬底处理工具基于所述状态而接收的输入关联。所述机器可读指令将所述处理器配置为基于该关联产生输出以控制所述衬底处理工具。

在其他特征中,所述机器可读指令将所述处理器配置为将所述衬底处理工具的多个状态以及由所述衬底处理工具基于所述多个状态接收的相应输入存储在数据库中。所述机器可读指令将所述处理器配置为使用所述数据库执行所述关联。

在其他特征中,所述机器可读指令将所述处理器配置为基于与所述衬底处理工具和附加的衬底处理工具中的一个或多个相关的历史数据来更新所述数据库。所述机器可读指令将所述处理器配置为使用所更新的所述数据库来执行所述关联。

在其他特征中,所述机器可读指令将所述处理器配置为将与附加的衬底处理工具相关的数据存储在所述数据库中,所述数据包括所述附加的衬底处理工具的状态以及由所述附加的衬底处理工具基于所述状态接收的相应输入。所述机器可读指令将所述处理器配置为使用所述数据来执行所述关联。

在其他特征中,所述衬底处理工具的所述状态包括指示与所述衬底处理工具相关的错误的数据,并且所述输入包括指示对所述错误的响应的数据。

在其他特征中,所述衬底处理工具的所述状态包括指示与所述衬底处理工具相关的即将发生的错误的数据,并且所述输入包括指示用以防止所述错误的响应的数据。

在其他特征中,所述机器可读指令将所述处理器配置为检测所述衬底处理工具的操作者的存在。所述机器可读指令将所述处理器配置为根据由所述操作者基于所述输出接收的响应来控制所述衬底处理工具。

在其他特征中,所述机器可读指令将所述处理器配置为检测所述衬底处理工具的操作者的不存在。所述机器可读指令将所述处理器配置为基于所述输出在不存在所述操作者的情况下控制所述衬底处理工具。

在其他特征中,所述机器可读指令将所述处理器配置为检测所述衬底处理工具的操作者的不存在。所述机器可读指令将所述处理器配置为将有关所述输出通知所述操作者。

在其他特征中,所述机器可读指令将所述处理器配置为:基于所述输出来控制所述衬底处理工具,以确保完成对所述衬底的处理,防止对所述衬底的损坏,以及防止所述处理模块的空转。

在其他特征中,所述机器可读指令将所述处理器配置为:基于所述输出控制所述衬底处理工具,以优化所述处理模块对所述衬底的处理的调度。

具体而言,本发明的一些方面可以阐述如下:

1.一种系统,其包括:

接口,其用以接收衬底处理工具的状态,所述衬底处理工具包括被配置为处理衬底的多个处理模块;以及

控制器,其用以将所述状态与先前由所述衬底处理工具基于所述状态从所述接口接收的输入关联,并且基于该关联产生输出以控制所述衬底处理工具。

2.根据条款1所述的系统,其中,所述控制器被配置为将所述衬底处理工具的多个状态以及由所述衬底处理工具基于所述多个状态接收的相应输入存储在数据库中,并且使用所述数据库执行所述关联。

3.根据条款2所述的系统,其中,所述控制器被配置为基于与所述衬底处理工具和附加的衬底处理工具中的一个或多个相关的历史数据来更新所述数据库,并且使用所更新的所述数据库来执行所述关联。

4.根据条款2所述的系统,其中所述控制器被配置为将与附加的衬底处理工具相关的数据存储在所述数据库中,所述数据包括所述附加的衬底处理工具的状态以及由所述附加的衬底处理工具基于所述状态接收的相应输入,并且使用所述数据来执行所述关联。

5.根据条款1所述的系统,其中所述衬底处理工具的所述状态包括指示与所述衬底处理工具相关的错误的数据,并且其中所述输入包括指示对所述错误的响应的数据。

6.根据条款1所述的系统,其中所述衬底处理工具的所述状态包括指示与所述衬底处理工具相关的即将发生的错误的数据,并且其中所述输入包括指示用以防止所述错误的响应的数据。

7.根据条款1所述的系统,其中,所述控制器被配置为检测所述衬底处理工具的操作者的存在,并根据由所述操作者基于所述输出接收的响应来控制所述衬底处理工具。

8.根据条款1所述的系统,其中,所述控制器被配置为检测所述衬底处理工具的操作者的不存在,并且基于所述输出在不存在所述操作者的情况下控制所述衬底处理工具。

9.根据条款1所述的系统,其中,所述控制器被配置为检测所述衬底处理工具的操作者的不存在,并且将有关所述输出通知所述操作者。

10.根据条款1所述的系统,其中,所述控制器被配置为基于所述输出来控制所述衬底处理工具,以确保完成对所述衬底的处理,防止对所述衬底的损坏,以及防止所述处理模块的空转。

11.根据条款1所述的系统,其中,所述控制器被配置为基于所述输出控制所述衬底处理工具,以优化所述处理模块对所述衬底的处理的调度。

12.一种方法,其包括:

接收衬底处理工具的状态,所述衬底处理工具包括被配置为处理衬底的多个处理模块;

将所述状态与先前由所述衬底处理工具基于所述状态而接收的输入关联;并且

基于该关联产生输出以控制所述衬底处理工具。

13.根据条款12所述的方法,其还包括:

将所述衬底处理工具的多个状态以及由所述衬底处理工具基于所述多个状态接收的相应输入存储在数据库中;并且

使用所述数据库执行所述关联。

14.根据条款13所述的方法,其还包括:

基于与所述衬底处理工具和附加的衬底处理工具中的一个或多个相关的历史数据来更新所述数据库;并且

使用所更新的所述数据库来执行所述关联。

15.根据条款13所述的方法,其还包括:

将与附加的衬底处理工具相关的数据存储在所述数据库中,所述数据包括所述附加的衬底处理工具的状态以及由所述附加的衬底处理工具基于所述状态接收的相应输入;并且

使用所述数据来执行所述关联。

16.根据条款12所述的方法,其中所述衬底处理工具的所述状态包括指示与所述衬底处理工具相关的错误的数据,并且其中所述输入包括指示对所述错误的响应的数据。

17.根据条款12所述的方法,其中所述衬底处理工具的所述状态包括指示与所述衬底处理工具相关的即将发生的错误的数据,并且其中所述输入包括指示用以防止所述错误的响应的数据。

18.根据条款12所述的方法,其还包括:

检测所述衬底处理工具的操作者的存在;并且

根据由所述操作者基于所述输出接收的响应来控制所述衬底处理工具。

19.根据条款12所述的方法,其还包括:

检测所述衬底处理工具的操作者的不存在;并且

基于所述输出在不存在所述操作者的情况下控制所述衬底处理工具。

20.根据条款12所述的方法,其还包括:

检测所述衬底处理工具的操作者的不存在;并且

将有关所述输出通知所述操作者。

21.根据条款12所述的方法,其还包括:基于所述输出来控制所述衬底处理工具,以确保完成对所述衬底的处理,防止对所述衬底的损坏,以及防止所述处理模块的空转。

22.根据条款12所述的方法,其还包括:基于所述输出控制所述衬底处理工具,以优化所述处理模块对所述衬底的处理的调度。

23.一种系统,其包括:

处理器;和

存储在有形机器可读介质上的机器可读指令,所述机器可读指令当由处理器执行时将所述处理器配置为:

接收衬底处理工具的状态,所述衬底处理工具包括被配置为处理衬底的多个处理模块;

将所述状态与先前由所述衬底处理工具基于所述状态而接收的输入关联;并且

基于该关联产生输出以控制所述衬底处理工具。

24.根据条款23所述的系统,其中所述机器可读指令将所述处理器配置为:

将所述衬底处理工具的多个状态以及由所述衬底处理工具基于所述多个状态接收的相应输入存储在数据库中;并且

使用所述数据库执行所述关联。

25.根据条款24所述的系统,其中所述机器可读指令将所述处理器配置为:

基于与所述衬底处理工具和附加的衬底处理工具中的一个或多个相关的历史数据来更新所述数据库;并且

使用所更新的所述数据库来执行所述关联。

26.根据条款24所述的系统,其中所述机器可读指令将所述处理器配置为:

将与附加的衬底处理工具相关的数据存储在所述数据库中,所述数据包括所述附加的衬底处理工具的状态以及由所述附加的衬底处理工具基于所述状态接收的相应输入;并且

使用所述数据来执行所述关联。

27.根据条款23所述的系统,其中所述衬底处理工具的所述状态包括指示与所述衬底处理工具相关的错误的数据,并且其中所述输入包括指示对所述错误的响应的数据。

28.根据条款23所述的系统,其中所述衬底处理工具的所述状态包括指示与所述衬底处理工具相关的即将发生的错误的数据,并且其中所述输入包括指示用以防止所述错误的响应的数据。

29.根据条款23所述的系统,其中所述机器可读指令将所述处理器配置为:

检测所述衬底处理工具的操作者的存在;并且

根据由所述操作者基于所述输出接收的响应来控制所述衬底处理工具。

30.根据条款23所述的系统,其中所述机器可读指令将所述处理器配置为:

检测所述衬底处理工具的操作者的不存在;并且

基于所述输出在不存在所述操作者的情况下控制所述衬底处理工具。

31.根据条款23所述的系统,其中所述机器可读指令将所述处理器配置为:

检测所述衬底处理工具的操作者的不存在;并且

将有关所述输出通知所述操作者。

32.根据条款23所述的系统,其中所述机器可读指令将所述处理器配置为:基于所述输出来控制所述衬底处理工具,以确保完成对所述衬底的处理,防止对所述衬底的损坏,以及防止所述处理模块的空转。

33.根据条款23所述的系统,其中所述机器可读指令将所述处理器配置为:基于所述输出控制所述衬底处理工具,以优化所述处理模块对所述衬底的处理的调度。

根据详细描述、权利要求书和附图,本公开的其他应用领域将变得显而易见。详细描述和具体示例仅用于说明的目的,并不意图限制本公开的范围。

附图说明

从详细描述和附图中将更全面地理解本公开,其中:

图1是根据本公开的衬底处理系统的示例的功能框图;

图2是根据本公开的图1的衬底处理系统的处理模块的一个示例的功能框图;

图3是根据本公开的图1的衬底处理系统的处理模块的另一示例的功能框图;

图4是控制根据本公开的图1的衬底处理系统的衬底处理工具的控制器的功能框图;

图5是根据本公开的分布式网络系统的功能框图,该分布式网络系统包括图1的衬底处理系统、在该衬底处理系统的上游和下游的多个系统和主机;

图6是根据本公开的图5的分布式网络系统的功能框图,其还包括多个图1的衬底处理系统;

图7是根据本公开的使用人工智能将图1的衬底处理系统的用户交互自动化的方法的流程图;

图8是根据本公开的基于从多个衬底处理工具接收的数据构建衬底处理工具的工具状态和响应的数据库的方法的流程图;和

图9是根据本公开的通过重放从多个衬底处理工具接收的数据并使用模拟来构建衬底处理工具的工具状态和响应的数据库的方法的流程图。

在附图中,附图标记可以被重复使用以识别相似和/或相同的元件。

具体实施方式

本公开涉及用于通过人工智能使半导体处理工具的用户交互自动化的系统和方法。半导体处理工具在特征、选项和工艺方面具有高度可变性。当前使客户交互自动化的方法涉及将客户请求转换为需求并随后在客户的半导体处理工具的控制系统上实现特征。目前的方法面临许多挑战。例如,某些客户要求是非常具体的和临时的。对于这些请求,传统开发周期(包括自定义编程)的周转时间长且昂贵。

代替硬编码用于控制每个半导体处理工具的过程和序列,本公开提出了一种控制系统,以使半导体处理工具能够基于用户与衬底处理工具的交互来学习和训练。学习和训练可用于使用户与衬底处理工具的交互自动化。例如,学习和培训可用于执行复杂的维护任务,自动化晶片吞吐量分析,以及优化调度以减少过程模块的空闲时间。学习和培训可用于检测错误并建议错误恢复程序。学习和训练还可以用于自动执行错误恢复过程以防止损坏晶片和/或衬底处理工具。

例如,控制系统可以实时地学习在工具操作/错误恢复期间执行的用户动作/干预。一旦控制系统被训练并且准备好自动执行任务,用户就可以选择自动模式以使控制系统在生产期间接管。当自动模式激活时,如果没有用户进行干预,则当可能发生对晶片/工具的损坏时,控制系统就进行干预。如果用户在现场,则控制系统可以提出帮助用户。用户可以让控制系统自动接管和响应,或者用户可以控制控制系统的操作。因此,控制系统可以独立于用户操作,或者可以建议动作并通过用户交互执行任务。

控制系统实时分析来自各种传感器的数据和与衬底处理工具的各种状态相关的数据。工具状态的示例包括但不限于工具空闲、维护中、节能模式等。一般而言,工具(即,衬底处理工具)的状态是在给定时刻所述工具的操作参数或条件的快照。控制系统可以学习用户如何对工具状态做出反应或做出响应。

例如,在用户在对工具状态类似地做出预定数量(例如,3至5)的次数的反应之后(例如,在用户响应于工具状态执行一系列操作或命令之后),控制系统可以学习用户响应于工具状态执行的操作顺序。随后,基于该学习,在遇到该工具状态时,控制系统将该工具状态与习得的用户动作或该工具状态的响应关联。控制系统可以在没有任何用户干预的情况下执行所习得的操作顺序,或者可以提出执行该顺序。

控制系统还可以学习从各种衬底处理工具可获得的历史数据(例如,事件日志、警报日志等)。例如,可以在模拟器中重放历史数据,并且可以生成或编译工具状态和用户对工具状态的响应的数据库。然后,通过实时访问工具信息,控制系统可以将习得的知识应用于生产期间可能发生的任何情况。使用从其他工具获得的知识构建的数据库可以针对特定衬底处理工具的控制系统进行定制。从其他工具获得的知识也可用于更新衬底处理工具的控制系统的使用中数据库。下面详细描述本公开的系统和方法的这些和其他特征。

本公开组织如下。参考图1描述包括衬底处理工具的衬底处理系统。参考图2和3描述衬底处理工具的处理模块(处理室)的示例。参考图4描述控制衬底处理工具的控制器。参考图5描述包括衬底处理系统、多个上游和下游系统、计量系统和主机的联网系统。参考图6描述进一步包括多个衬底处理系统的联网系统。随后参考图7-9描述用于使用控制器控制衬底处理工具的各种方法。

图1示出了衬底处理系统1的示例的俯视图。衬底处理系统1包括衬底处理工具2和控制器10。衬底处理工具2(在整个本公开中也称为工具)包括多个处理模块4。例如,每个处理模块4可以被配置为在衬底上执行一个或多个相应的处理。待处理的衬底通过设备前端模块(efem)6的装载站的端口装载到衬底处理工具2中。机械手8按顺序将衬底传送到一个或多个处理模块4中,衬底将按该顺序由处理模块4处理。控制器10控制衬底处理工具2,如下面参考图4所述。控制器10可以包括服务器或可以执行所描述的功能的任何其他合适的计算设备。

图2示出了作为处理模块4的示例的系统20。虽然将在等离子体增强化学气相沉积(pecvd)的背景下描述前述示例,但是本公开的教导可以应用于其他衬底处理系统,例如原子层沉积(ald)、peald、化学气相沉积(cvd)或其他工艺。系统20包括处理室22,处理室22包围系统20的其他部件并包含rf等离子体(如果使用的话)。系统20包括上电极24和静电卡盘(esc)26或其他衬底支撑件。在操作期间,衬底28布置在esc26上。

例如,上电极104可以包括引入和分配处理气体的诸如喷头之类的气体分配装置29。气体分配装置29可以包括杆部,所述杆部包括连接到处理室的顶表面的一端。基部通常是圆柱形的并且在与处理室的顶表面间隔开的位置处从杆部的相对端径向向外延伸。喷头的基部的面向衬底的表面或面板包括多个孔,汽化的前体、处理气体或净化气体通过所述多个孔流动。替代地,上电极24可以包括导电板,并且处理气体可以以另一种方式引入。

esc26包括用作下电极的底板30。底板30支撑加热板32,加热板32可对应于陶瓷多区加热板。热阻层34可以布置在加热板32和底板30之间。底板30可以包括一个或多个通道36,其用于使冷却剂流过底板30。

如果使用等离子体,则rf产生系统40产生rf电压并将rf电压输出到上电极24和下电极(例如,esc26的底板30)中的一个。上电极24和底板30中的另一个可以是dc接地的、ac接地的或浮动的。仅举例而言,rf产生系统40可以包括rf产生器42,其产生rf功率,该rf功率由匹配和分配网络44馈送到上电极24或底板30。在其他示例中,等离子体可以感应或者远程产生。

气体输送系统50包括一个或多个气体源52-1、52-2,......和52-n(统称为气体源52),其中n是大于零的整数。气体源52通过阀54-1、54-2、......和54-n(统称为阀54)和质量流量控制器56-1、56-2、......和56-n(统称为质量流量控制器56)连接到歧管60。蒸汽输送系统61将汽化的前体供应到歧管60或连接到处理室22的另一歧管(未示出)。歧管60的输出被供给到处理室22。

温度控制器63可以连接到布置在加热板32中的多个热控制元件(tce)64。温度控制器63可以用于控制多个tce64以控制esc26和衬底28的温度。温度控制器63可以与冷却剂组件66连通以控制流过通道36的冷却剂。例如,冷却剂组件66可以包括冷却剂泵、贮存器和一个或多个温度传感器。温度控制器63操作冷却剂组件66以选择性地使冷却剂流过通道36以冷却esc26。

阀70和泵72可用于从处理室22排出反应物。系统控制器80可用于控制系统20的部件。

图3示出了用于蚀刻衬底的层的作为处理模块4的示例的处理室100。虽然示出并描述了特定室,但是本公开的教导可以应用于其他衬底处理装置。

衬底处理室100包括下室区域102和上室区域104。下室区域102由室侧壁表面108、室底表面110和气体分配装置114的下表面限定。

上室区域104由气体分配装置114的上表面和拱顶118的内表面限定。在一些示例中,拱顶118搁置在第一环形支撑件121上。在一些示例中,第一环形支撑件121包括用于将处理气体输送到上室区域104的一个或多个间隔开的孔123。在一些示例中,处理气体通过一个或多个间隔开的孔123以相对于包括气体分配装置114的平面成锐角沿向上方向输送,但也可以使用其它角度/方向。在一些示例中,第一环形支撑件121中的气体流动通道134向一个或多个间隔开的孔123供应气体。

第一环形支撑件121可以搁置在第二环形支撑件125上,第二环形支撑件125限定用于将处理气体从气体流动通道129输送到下室区域102的一个或多个间隔开的孔127。在一些示例中,气体分配装置114中的孔131与孔127对准。在其他示例中,气体分配装置114具有较小的直径,并且不需要孔131。在一些示例中,处理气体通过一个或多个间隔开的孔127以相对于包括气体分配装置114的平面成锐角朝向衬底126以向下方向输送,但也可以使用其它角度/方向。

在其他示例中,上室区域104是具有平坦顶表面的圆柱形,并且可以使用一个或多个平坦的感应线圈。在还有的其他示例中,单个室可以与位于喷头和衬底支撑件之间的间隔物一起使用。

衬底支撑件122布置在下室区域104中。在一些示例中,衬底支撑件122包括静电卡盘(esc),但也可以使用其他类型的衬底支撑件。在蚀刻期间,衬底126布置在衬底支撑件122的上表面上。在一些示例中,衬底126的温度可以由加热板125、具有流体通道的可选冷却板和一个或多个传感器(未示出)控制,但也可以使用任何其它合适的衬底支撑件温度控制系统。

在一些示例中,气体分配装置114包括喷头(例如,具有多个间隔开的孔129的板128)。多个间隔开的孔129从板128的上表面延伸到板128的下表面。在一些示例中,间隔开的孔129具有在0.4英寸至0.75英寸范围内的直径,并且喷头由诸如铝之类的导电材料或诸如具有由导电材料制成的嵌入电极的陶瓷之类的非导电材料制成。

一个或多个感应线圈140围绕拱顶118的外部部分布置。当通电时,一个或多个感应线圈140在拱顶118内部产生电磁场。在一些示例中,使用上部线圈和下部线圈。气体注射器142从气体输送系统150-1注射一种或多种气体混合物。

在一些示例中,气体输送系统150-1包括一个或多个气体源152、一个或多个阀154、一个或多个质量流量控制器(mfc)156和混合歧管158,但也可以使用其他类型的气体输送系统。气体分流器(未示出)可以用于改变气体混合物的流速。另一种气体输送系统150-2可以用于将蚀刻气体或蚀刻气体混合物供应到气体流动通道129和/或134(外加来自气体注射器142的蚀刻气体或代替来自气体注射器142的蚀刻气体)。

在一些示例中,气体注射器142包括沿向下方向引导气体的中心注射位置以及以相对于向下方向成一定角度注射气体的一个或多个侧注射位置。在一些示例中,气体输送系统150-1以第一流率将气体混合物的第一部分输送至中心注射位置,并以第二流率将气体混合物的第二部分输送至气体注射器142的一个或多个侧注射位置。在其他示例中,不同的气体混合物由气体注射器142输送。在一些示例中,气体输送系统150-1将调节气体输送到气体流动通道129和134和/或输送到处理室中的其他位置,如下所述。

等离子体产生器170可以用于产生输出到一个或多个感应线圈140的rf功率。等离子体190在上室区域104中产生。在一些示例中,等离子体产生器170包括rf产生器172和匹配网络174。匹配网络174将rf产生器172的阻抗与一个或多个感应线圈140的阻抗相匹配。在一些示例中,气体分配装置114连接到诸如地之类的参考电位。阀178和泵180可以用于控制下室区域102和上室区域104内的压力并且排空反应物。

控制器176与气体输送系统150-1和150-2、阀178、泵180和等离子体产生器170通信以控制处理气体的流动、吹扫气体、rf等离子体和室压力。在一些示例中,等离子体通过一个或多个感应线圈140维持在拱顶118内部。使用气体注射器142(和/或孔123)从室的顶部部分引入一种或多种气体混合物,并且使用气体分配装置114将等离子体限制在拱顶118内。

将等离子体限制在拱顶118中允许等离子体物质的体积复合以及通过气体分配装置114流出期望的蚀刻剂物质。在一些示例中,不向衬底126施加rf偏置。结果,在衬底126上没有活性鞘(activesheath),并且离子不以任何有限能量撞击衬底。一些量的离子将通过气体分配装置114扩散出等离子体区域。然而,扩散的等离子体的量比位于拱顶118内的等离子体的量的数量级低。等离子体中的大多数离子是在高压下通过体积复合而丢失。气体分配装置114的上表面处的表面复合损失还降低了气体分配装置114下方的离子密度。

在其他示例中,rf偏置产生器184被提供并且包括rf产生器186和匹配网络188。rf偏置可以用于在气体分配装置114和衬底支撑件之间产生等离子体或者用于在衬底126上产生自偏置以吸引离子。控制器176可以用于控制rf偏置。

图4示出了控制器10的简化示例,该控制器10控制图1中所示的衬底处理工具2。例如,控制器10可以包括服务器或可以执行所描述的功能的任何其他合适的计算设备。控制器10通常包括一个或多个cpu或处理器200、一个或多个输入设备202(例如,键盘、触摸板、鼠标等),包括显示器206的显示子系统204、网络接口208、存储器210和大容量存储装置212。

网络接口208将控制器10连接到分布式网络系统12。分布式网络系统12可以包括局域网(lan)、诸如因特网之类的广域网(wan)或其他类型的网络。例如,网络接口208可以包括有线接口(例如,以太网接口)和/或无线接口(例如,wi-fi、蓝牙、近场通信(nfc)或其他无线接口)。存储器210可以包括易失性或非易失性存储器、高速缓存或其他类型的存储器。大容量存储装置212可以包括闪存、一个或多个硬盘驱动器(hdd)或其他大容量存储设备。

控制器10的处理器200执行操作系统(os)214和一个或多个应用程序216。应用程序216实现控制衬底处理工具2的控制系统。应用程序216实现下面参考图7-9描述的方法以通过人工智能使衬底处理工具2的用户交互自动化。应用程序216实现使衬底处理工具2的用户交互自动化的人工智能(ai)引擎。大容量存储装置212可以存储一个或多个数据库218,数据库218存储由应用程序216用来执行相应的功能的数据结构。

控制器10包括接口220,接口220将控制器10连接到处理模块4和机械手8。控制器10经由接口220控制机械手8和衬底处理工具2的处理模块4。控制器10经由接口220与图2和3中所示的处理模块4的控制器80和176通信。处理模块4的控制器80和176还可以包括服务器或可以执行所描述的功能的任何其他合适的计算设备。控制器10经由接口220与衬底处理工具2所采用的各种传感器通信。控制器10经由接口220从与处理模块4和机械手8相关联的各种传感器接收数据。

控制器10通过接口220发送信号以控制衬底处理工具2的各种部件。例如,信号可以控制处理模块4中的阀、泵等;信号可以控制各种工艺参数,包括处理模块4中的压力、温度、功率、气体等;信号可以控制机械手8;等等。

控制器10基于从处理模块4和由衬底处理工具2采用的各种传感器接收的数据在任何给定时间确定衬底处理工具2的状态。控制器10基于衬底处理工具2的状态控制衬底处理工具2。

控制器10基于经由显示子系统204和/或输入设备202(或经由网络接口208远程)接收的操作者输入来控制(例如,将信号发送到)衬底处理工具2。控制器10在应用程序216和数据库218的控制下使针对衬底处理工具2的用户交互自动化,应用程序216和数据库218实现如下面详细描述的人工智能(ai)引擎。控制器10可以使用ai引擎在有或没有用户交互的情况下控制衬底处理工具2。

控制器10可以基于衬底处理工具2的状态生成警报。例如,控制器10可以基于衬底处理工具2中的事件、错误(已经发生的错误以及即将发生的错误)等等生成警报。可以通过显示子系统204输出警报,以警告衬底处理工具2的用户(即操作者)。警报也可以通过衬底处理工具2的音频子系统(未示出)输出。警报也可以通过网络接口208作为消息传送。

应用程序216学习响应于衬底处理工具2的特定状态而执行的特定用户动作。例如,应用程序216学习响应于警报而执行的用户动作(例如,为了从错误中恢复而执行的动作)。在监视用户对衬底处理工具2中发生的事件的相同反应之后(例如,在用户对特定事件的相同组的输入做出例如3-5次反应之后),应用程序216存储衬底处理工具2的状态和操作者响应于数据库218中的状态而执行的相应动作。

在一些实施方式中,数据库218可以已经存储与衬底处理工具2的状态以及操作者响应于状态而执行的相应动作相关的关系。在这样的实现方式中,应用程序216可以基于对衬底处理工具2的继续操作的学习而继续更新数据库218。

在其他实现方式中,数据库218可以已经存储与各种衬底处理工具的状态以及操作者响应于状态而执行的相应动作相关的关系。例如,可以通过重放来自各种衬底处理工具的历史数据(例如,包括事件日志、警报日志等)来导出关系。可以在模拟环境中(例如,通过模拟与图1-3中所示的衬底处理系统类似的衬底处理系统)执行重放。模拟过程提供了额外的优点,即除了重放从其他衬底处理系统接收的生产数据(工具状态和响应)之外,还可以模拟各种其他操作条件和响应以进一步改进学习过程。例如,可以通过改进模拟条件下的错误检测来最小化或避免假警报(例如,由于错误而不正确地触发的不必要的维护任务)。

在这样的实现方式中,其中基于从各种衬底处理系统接收的数据生成数据库218,应用程序216可以根据衬底处理工具2的实际配置来配置(例如,调整或定制)数据库218。应用程序216可以基于对衬底处理工具2的继续操作的学习而继续更新数据库218。

基于该学习,控制器10可以提示用户响应于衬底处理工具2的状态执行动作。例如,控制器10可以将衬底处理工具2的状态与对在数据库218中存储的状态的响应关联。基于该关联,控制器10可以在检测到衬底处理工具2中的错误时建议在数据库218中存在的校正或恢复动作。举另一示例而言,控制器10可以确定使用来自需要执行预防性或校正性维护操作的衬底处理工具2中的一个或多个传感器的数据。控制器10可以将工具状态与存储在数据库218中的数据关联,并基于该关联建议合适的动作。

控制器10可以提出(例如,在显示子系统204上的gui上建议)在没有用户交互的情况下自动执行所提议的动作。控制器10可以确定操作者是否在现场以与衬底处理工具2交互。控制器10可以基于一天中的时间(例如,基于预定轮班的时间或工作时间),基于用户当时是否登录控制器10等等检测操作者的存在或不存在。如果用户不在现场(即,如果衬底处理工具2是无人看管的),则控制器10可以自动执行任务并通过消息将关于衬底处理工具2的状态和响应该状态执行的动作通知用户。

根据任务的类型和/或应用程序216的配置,用户还可以远程地(即,当用户不在衬底处理工具2附近时)授权控制器10在没有来自用户的任何进一步输入的情况下执行动作。替代地,用户可以远程(或在本地,即当用户存在于衬底处理工具2附近时)授权控制器10执行动作,监视控制器执行任务,并在必要时进行干预。

如上所述,应用程序216学习衬底处理工具2的用户(即操作者)如何从错误中恢复,如何执行复杂的维护任务(作为错误校正措施以及预定的预防措施被触发)等动作。基于该学习,控制器10可以检测错误,从错误中恢复,并防止晶片被损坏或报废。

应当注意,某些情况对于诸如衬底处理工具2之类的半导体处理设备而言是特有的或独特的,并且使得构建人工智能(ai)引擎具有挑战性。例如,衬底处理工具2的处理模块4可以使用用于各种处理的各种化学物质:各种气体和液体(统称为流体);各种压力、温度和功率;流体、压力、温度和功率的各种顺序;等等。对于涉及这样的各种广泛的电气、机械和化学控制的衬底处理工具来说,学习用户交互并使用户交互自动化可能具有挑战性。

有时,快速响应(例如,在几秒或几分之一秒内)对于防止晶片在诸如衬底处理工具2之类的半导体处理设备中被损坏或报废是至关重要的。根据本公开的智能(ai)引擎可以比人类操作者更快地响应这种情况。

特定于半导体处理设备(例如衬底处理工具2)的另一个特有的或独特的参数是排队时间:使用一系列工艺处理的晶片需要在称为排队时间的预定时间内从一个处理模块传送到另一个处理模块。排队时间可以根据已经在一个处理模块中在晶片上执行的处理的类型以及随后将在另一个处理模块中在晶片上执行的处理的类型而变化。如果在排队时间内没有处理晶片,则晶片可能必须报废。如果没有用户存在并防止晶片报废,则ai引擎使用习得的排队时间数据在排队时间内(即,在排队时间到期之前)进行干预。

ai引擎检测衬底处理工具2中的错误。如果ai引擎基于学习(即,基于工具状态与存储在数据库218中的数据的关联)识别到工具状态的先前恢复过程,ai引擎执行以下操作之一:如果用户正在操作该工具(例如,如果用户在现场),则ai引擎可以提出帮助用户。用户可以让ai引擎自动执行恢复序列。如果ai引擎自动执行恢复序列是必要的,则用户可以进行干预。替代地,如果用户不在现场并且ai引擎检测到晶片报废的可能性,则ai引擎可以自动干预并防止晶片报废。

ai引擎可以从中学习并且ai引擎随后可以在其中行动的情况或场景的其他示例包括以下内容。例如,衬底处理工具2可能遭受机械手夹持器错误。例如,由于机械手夹持器错误,工具在生产期间可能每天停止两次。更换部件可能已订购,但可能需要数周才能交付,这可能导致工具停机和24/7(全天候)技术人员支持。

机械手夹持器错误的恢复很复杂,需要熟练的干预。恢复中的错误可能导致机械手崩溃并导致晶片报废。晶片也受排队时间限制。因此,需要在给定时间内恢复晶片,否则晶片必须报废。这种情况可能导致工具停机并需要24/7技术人员支持。

相反,ai引擎可以如下响应。ai引擎可以实时访问工具信息。ai引擎将学习用户对错误和工具状态的干预。在多次(例如,3-5次)记录用户对上述情况的响应之后,ai引擎能够执行存储在数据库218中的序列并从错误中恢复。例如,在生产期间,ai引擎可以设置为自动恢复模式。当此模式处于活动状态且发生上述错误时,如果排队时间可能会使晶片处于危险状态,则ai引擎将进行干预。这样,该工具可用于生产,直到安装替换零部件。ai引擎可以基于从与机械手8相关的传感器接收的数据来预测错误,并且可以在错误发生之前主动地订购部件。这种主动行动可以避免或最大限度地减少工具停机时间、对24/7技术人员支持的需要以及晶片报废的可能性。

图5示出了包括彼此经由分布式网络系统12通信的衬底处理系统1、系统a250-1和b250-2(统称为其他系统250)、计量系统260和主机270的网络。例如系统a250-1和b250-2相对于衬底处理系统1可以分别位于上游和下游。例如,位于衬底处理系统1上游的系统a250-1可以准备用于由衬底处理系统1处理的衬底。系统a250-1可以提供关于衬底的数据。根据从系统a250-1接收的数据,衬底处理系统1可以优化衬底处理工具2的一个或多个处理模块4和机械手8的用于处理衬底的设置和/或处理参数。因此,衬底处理系统1可以使用来自系统a250-1的数据来细化衬底处理工具2的状态。衬底处理系统1可以在将来学习并预期类似的数据。另外,衬底处理系统1可以从位于下游的系统b250-2接收数据并且可以学习数据(即,基于数据优化一个或多个处理模块4和机械手8的设置和/或处理参数)。

计量系统260可以使用光学计量和质量计量中的一个或多个来在衬底处理系统1处理衬底之前、期间和/或之后执行衬底的多次测量。例如,质量计量系统可用于在处理之前和之后测量质量以确定质量变化。光学计量可用于创建表面的光谱模型以确定厚度。质量变化和厚度可用作反馈。测量结果可以指示衬底的状态以及在衬底上执行的一个或多个处理(例如,沉积、蚀刻、清洁等)的成功。例如,根据测量结果,衬底的状态可以指示以下项中的一项或多项:衬底是否准备好由衬底处理系统1处理,衬底是否被一个或多个处理模块4适当地处理(例如,衬底是否被适当地蚀刻;清洁;和/或是否正确地执行沉积等),衬底处理系统1对衬底的处理是否成功完成,等等。计量数据可用于优化衬底处理工具2的一个或多个处理模块4和机械手8的设置和/或处理参数。因此,计量数据可用于进一步细化衬底处理工具2的状态。虽然为了简化说明仅示出了一个计量系统,但是可以使用一个以上的计量系统。例如,可以在衬底处理系统1处理衬底之前使用一个计量系统,并且可以在衬底处理系统1处理衬底之后使用另一个计量系统。

主机270可以包括一个或多个服务器。每个服务器可以包括图4中所示的控制器10的所有组件。主机270可以执行控制器10的一些或全部功能。主机270可以在有或没有控制器10的情况下控制衬底处理工具2。主机270可以经由控制器10与衬底处理工具2通信和/或直接与衬底处理工具2通信(即,不通过控制器10通信)。主机270可以直接与衬底处理工具2的任何组件(例如,与机械手8和任何处理模块4)通信。作为处理模块4的示例的控制器80和176(参见图2和3)可以类似于图4中所示的控制器10。每个处理模块4可以经由网络接口208与主机270直接通信。每个处理模块4可以经由接口220与其他处理模块4、机械手8和/或控制器10通信。机械手8也可以包括类似于控制器10的控制器。机械手8可以经由网络接口208与主机270直接通信。机械手8可以经由接口220与处理模块4和/或控制器10通信。因此,处理模块4和机械手8可以直接与主机270通信,并且可以由主机270直接控制。主机270可以位于本地(on-premises)或云中。主机270可以在有或没有用户交互的情况下存储与控制衬底处理工具2相关的附加数据。附加数据可以包括但不限于来自其他系统250、计量系统260和其他衬底处理系统的数据(参见图6和下面的相应描述)。

图6示出了多个衬底处理系统1-1、1-2、1-3、...、和1-n,其中n是大于1的整数(统称为衬底处理系统1),其可以通过分布式网络系统12彼此通信。衬底处理系统1可以位于单个地点或者可以分布在多个地点。衬底处理系统1可以彼此共享关于它们的状态和对其的响应的数据。任何衬底处理系统1可以基于共享数据(例如,通过使用上述模拟过程重放共享数据)在衬底处理系统1内部或外部生成、更新和/或定制一个或多个衬底处理系统的应用程序216和数据库218。

在使用中,ai引擎(即,应用程序216和数据库218)可以实时访问工具的所有状态。ai引擎可以将工具状态和存储在数据库218中的用户动作关联。ai引擎可以学习用户如何对工具状态做出反应(例如,ai引擎学习用户如何根据特定警报恢复)。在例如用户对工具状态类似地做出反应的情况出现3-5次之后,ai引擎能够为用户执行序列。

如果识别出序列(即,当前工具状态与数据库中具有学习响应的状态关联),则ai引擎将检测工具当前是否由用户操作(即,用户是否在现场)。如果用户在现场,则ai引擎将提出帮助用户(例如,通过在gui上显示消息或建议的响应(基于关联性找到的))。替代地,如果识别出序列,并且如果用户没在现场,则ai引擎可以接管控制,前提是被设置(即,配置)这样执行。

ai引擎还可以学习排队时间。如果用户没在现场且晶片可能报废,ai引擎可以使用此数据进行干预。从客户(即其他工具)和实验室工具(例如,重放、模拟等)习得的场景可以存储,收集和编译到主数据库中,其然后可以用于安装、定制或更新在其他工具上的单个的数据库。随着时间的推移,通过学习上面安装ai引擎的工具以及学习上述其他工具,ai引擎的基本功能可以持续增长。不希望共享有关其习得的ai引擎的数据的客户仍然具有通过其工具队(即,他们的工具集)聚合ai学习的功能。

下面参考图7-9描述用于使半导体制造设备的用户交互自动化的方法。在下面的描述中,术语控制指的是上面参考图1-6描述的一个或多个应用程序216,其实现下面描述的方法。换句话说,术语“控制”表示由图1-6中所示的衬底处理系统1的一个或多个组件执行的代码或指令以执行所描述的功能。例如,下面描述的方法可以由图1和4中所示的控制器10和/或图5中所示的主机270执行。

图7示出了使用人工智能使半导体制造设备(例如,图1的衬底处理系统1)的用户交互自动化的方法300。在302处,控制(例如,应用程序216中的一个或多个)监视衬底处理工具(例如,图1的衬底处理工具2)的状态。在304处,控制响应于工具状态监视操作者。在306处,控制编译(即,生成或创建)工具状态和操作者对工具状态的响应的数据库(例如,一个或多个数据库218)。例如,操作者在使用相同的响应对工具状态做出预定次数(例如,3-5次)的反应之后,控制使工具状态和操作者对工具状态的响应的数据库存储在数据库中。

在308处,控制基于从衬底处理工具实时接收的数据确定衬底处理工具的当前工具状态,并确定对当前工具状态的响应是否在数据库中。例如,控制通过将当前工具状态与存储在数据库中的已知响应的工具状态关联来进行该确定。如果该关联无法在数据库中找到与当前工具状态匹配的工具状态,则控制返回302。

在310处,如果在数据库中找到当前工具状态的匹配,则控制确定操作者是否在现场以响应当前工具状态。例如,控制基于一天中的时间和操作者的班次安排、操作者是否已登录系统(例如,控制器10)等来检测操作者在现场或不在现场。

在312处,如果操作者在现场,则控制器在衬底处理工具的显示器上(例如,在显示器上的gui上)输出与数据库中找到的匹配工具状态相对应的响应。控制根据响应提出控制衬底处理工具。

在314处,控制确定操作者是否允许其根据响应自动控制衬底处理工具而无需任何操作者输入。在316处,如果操作者允许根据响应自动控制衬底处理工具而无需任何操作者输入,则控制自动控制衬底处理工具而无需操作者干预,并且控制返回到302。

在318处,如果在没有任何操作者输入的情况下,操作者不允许根据响应自动控制衬底处理工具,则控制接收来自操作者的一个或多个输入并根据操作者的一个或多个输入控制衬底处理工具,并且控制返回到302。

在320处,如果控制在310处确定操作者不在现场,则控制通知操作者关于当前工具状态和对当前工具状态的响应,并且控制进行到316。例如,控制发送关于当前工具状态和对当前工具状态的响应的消息到操作者。虽然未示出,但是控制可以进行到314而不是进行到316。如果控制进行到314,则操作者可以远程地允许控制器根据响应自动控制衬底处理工具而无需任何操作者输入,在这种情况下控制进行到316。替代地,如果控制进行到314,并且如果在没有任何操作者输入的情况下,操作者不允许控制根据响应自动控制衬底处理工具,则控制进行到318。

图8示出了用于基于从多个衬底处理工具接收的数据来构建特定衬底处理工具的工具状态和响应的数据库的方法400。在402处,控制基于从多个衬底处理工具接收的数据创建工具状态和对工具状态的相应操作者响应的主数据库。在404处,控制通过根据特定衬底处理工具的配置对主数据库(在402处根据从多个衬底处理工具接收的数据生成)进行配置来定制特定衬底处理工具的数据库。替代地,如果特定衬底处理工具已经具有数据库,则控制通过添加来自(在402处根据从多个衬底处理工具接收的数据生成的)主数据库的相关信息来更新数据库。控制基于特定衬底处理工具的配置选择相关信息。在406处,控制使用根据图7中所示的方法300的定制/更新的数据库来执行特定衬底处理工具的控制操作。

图9示出了用于通过重放从模拟环境中的多个衬底处理工具接收的数据来为特定衬底处理工具创建工具状态和响应的数据库的方法450。在452处,控制从多个衬底处理工具收集数据(例如,参见图6)。在454处,控制重放模拟衬底处理工具中的数据,其中可以模拟对工具状态的响应。在456处,控制基于重放从模拟环境中的多个衬底处理工具接收的数据来创建工具状态和对工具状态的相应响应的主数据库。

在458,控制通过根据特定衬底处理工具的配置对主数据库(在456处根据从多个衬底处理工具接收的数据生成)进行配置来定制特定衬底处理工具的数据库。替代地,如果特定衬底处理工具已经具有数据库,则控制通过添加来自(在456处根据从多个衬底处理工具接收的数据生成的)主数据库的相关信息来更新数据库。控制基于特定衬底处理工具的配置选择相关信息。在460处,控制使用根据图7中所示的方法300的定制/更新的数据库来执行特定衬底处理工具的控制操作。

在整个本公开中,对诸如计算机(例如,服务器)、应用程序(例如,计算机程序)等术语的引用仅用于说明目的。诸如计算机(例如,服务器)之类的术语应广义地理解为表示被配置为执行机器可读指令的包括一个或多个处理器和存储器的计算设备。诸如应用程序(例如,计算机程序)之类的术语应广义地理解为表示可由计算设备执行的机器可读指令。

在整个本公开中,处理模块仅用于示例。本公开的教导适用于任何类型的处理设备(例如,间歇式反应器、离子注入机等)。例如,本公开的教导可以应用于任何设备处理样本,例如生物样本、化学样本、医学样本等。此外,在整个本公开中,衬底仅用于示例。本公开的教导可以应用于处理任何对象或工件。例如,本公开的教导可以使用光学、热学、化学、磁学和机械处理应用于处理对象或工件。换句话说,本公开的教导可以应用于从使用多个工艺处理对象的任何设备的操作中学习并且基于学习来控制设备和对象的处理以最小化人类交互并且减少对象损坏的风险。

前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,尽管本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方式在上面被描述为具有某些特征,但是关于本公开的任何实施方式描述的那些特征中的任何一个或多个可以在任何其他实施方式的特征中实现和/或与任何其他实施方式的特征组合,即使该组合没有明确描述也如此。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式彼此的置换保持在本公开的范围内。

使用各种术语来描述元件之间的空间和功能关系(例如,模块之间、电路元件之间、半导体层之间等),各种术语包括“连接”、“接合”、“耦合”、“相邻”、“邻近”、“在...顶部”、“在...上方”、“在……下方”和“设置”。当在上述公开中描述第一和第二元件之间的关系时,除非明确地描述为“直接”,否则该关系可以是在第一和第二元件之间不存在其它中间元件的直接关系,但也可以是其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件的间接关系。如本文所使用的,短语“a、b和c中的至少一个”应当被解释为意味着使用非排他性逻辑或(or)的逻辑(a或b或c),并且不应被解释为表示“a中的至少一个、b中的至少一个和c中的至少一个”。

在一些实现方式中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(rf)产生器设置、rf匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、进出工具和其他输送工具和/或连接到特定系统或与特定系统接口的装载锁的晶片输送。

概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(dsp)、定义为专用集成电路(asic)的芯片,和/或一个或多个微处理器,或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式输送到控制器或系统的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片执行特定工艺的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。

在一些实现方式中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或在晶片厂(fab)主机系统的全部或一部分中,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、从多个制造操作研究趋势或性能度量,以改变当前处理的参数、设置要跟随当前处理的处理步骤,或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机输送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个离散控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。

示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(pvd)室或模块、cvd室或模块、ald室或模块、原子层蚀刻(ale)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。

如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器,或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1