互连结构的制作方法

文档序号:32785943发布日期:2023-01-03 18:51阅读:48来源:国知局
互连结构的制作方法

1.本发明实施例涉及半导体装置,尤其涉及具有互连结构的半导体装置。


背景技术:

2.随着半导体工业导入具有更高性能和更多功能的新一代集成电路(integrated circuits,ic),增加用于形成ic的元件密度,而减少部件或元件之间的尺寸、大小和间距。过往中,这种减少仅受到光刻定义结构的能力的限制,具有较小尺寸的装置几何形状产生了新的限制因素。举例来说,随着后段(back-end-of-line,beol)互连结构中介电材料中的导电部件的深宽比(aspect ratio)变高,电阻率会增加。因此,需要针对互连结构进行改良以符合需求。


技术实现要素:

3.本发明实施例提供一种互连结构,包括:第一介电层;一或多个第一导电部件,设置在第一介电层中,其中一或多个第一导电部件包括第一金属;以及多个石墨烯层,设置在每个所述第一导电部件上,其中石墨烯层包括插入其中的第二金属,且第二金属不同于第一金属。
4.本发明实施例提供一种互连结构,包括:第一介电层;一或多个第一导电部件,设置在第一介电层中;以及含碳层,设置在每个所述第一导电部件和第一介电层之间,其中含碳层包括倾斜部分和连接到倾斜部分的水平部分,其中倾斜部分的顶部具有第一厚度,倾斜部分的底部具有第二厚度,其中水平部分具有第三厚度,并且其中第一厚度实质上大于第二厚度,第二厚度实质上大于第三厚度。
5.本发明实施例提供一种互连结构的形成方法,包括:在第一介电层中形成一或多个第一导电部件;在每个所述第一导电部件上形成第一金属层;在第一金属层和每个所述第一导电部件之间形成含碳层;在含碳层上方形成蚀刻停止层;在蚀刻停止层上形成第二介电层;以及在第二介电层中形成第二导电部件。
附图说明
6.以下将配合所附附图详述本发明实施例。应注意的是,依据在业界的标准做法,各种特征并未按照比例绘制且仅用以说明例示。事实上,可任意地放大或缩小单元的尺寸,以清楚地表现出本发明实施例的特征。
7.图1a根据本公开的一些实施例,示出制造半导体装置在各个阶段之一的透视图。
8.图1b根据本公开的一些实施例,示出制造图1a的半导体装置结构的阶段沿着线a-a的剖面侧视图。
9.图2根据本公开的一些实施例,示出半导体装置结构在制造阶段的剖面侧视图。
10.图3a、图3b、图3c、图3d、和图3e根据本公开的一些实施例,示出互连结构在各个制造阶段的剖面侧视图。
11.图4a和图4b根据本公开的一些实施例,示出互连结构在各个制造阶段之一的剖面侧视图。
12.图5a、图5b、和图5c根据本公开的一些实施例,示出互连结构在各个制造阶段的剖面侧视图。
13.图6a、图6b、图6c、图6d、图6e、和图6f根据本公开的一些实施例,示出互连结构在各个制造阶段的剖面侧视图。
14.图7根据本公开的一些实施例,示出互连结构在各个制造阶段之一的剖面侧视图。
15.附图标记如下:
16.100:装置结构
17.102:基板
18.108:通道区
19.114:隔离区
20.200:装置
21.122:栅极间隔物
22.123:侧壁间隔物
23.124:源极/漏极(s/d)区
24.126:接触蚀刻停止层
25.128:层间介电层
26.136:栅极介电层
27.138:栅极电极层
28.140:栅极堆叠
29.300:互连结构
30.302:金属间介电层
31.304:第一导电部件、导电部件
32.306:第二导电部件
33.310:介电层
34.312:导电部件
35.314:介电层
36.316:导电部件
37.318:阻挡层
38.320:衬层
39.322:金属层
40.324:含碳层
41.326:顶表面
42.328:底表面
43.330:蚀刻停止层
44.332:介电层
45.334:导电部件
46.336:金属层
47.601:导电部件
48.602:含碳层
49.606:含碳层
50.608:倾斜部分
51.610:水平部分
52.612:金属层
53.t1,t2,t3:厚度
具体实施方式
54.以下公开提供了许多的实施例或范例,用于实施所提供的标的物的不同元件。各元件和其配置的具体范例描述如下,以简化本发明实施例的说明。当然,这些仅仅是范例,并非用以限定本发明实施例。举例而言,叙述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接触的实施例,也可能包含额外的元件形成在第一和第二元件之间,使得它们不直接接触的实施例。此外,本发明实施例可能在各种范例中重复参考数值以及/或字母。如此重复是为了简明和清楚的目的,而非用以表示所讨论的不同实施例及/或配置之间的关系。
55.再者,其中可能用到与空间相对用词,例如“在
……
之下”、“下方”、“较低的”、“上方”、“较高的”等类似用词,是为了便于描述附图中一个(些)部件或特征与另一个(些)部件或特征之间的关系。空间相对用词用以包括使用中或操作中的装置的不同方位,以及附图中所描述的方位。当装置被转向不同方位时(旋转90度或其他方位),其中所使用的空间相对形容词也将依转向后的方位来解释。
56.图1a和图1b示出制造半导体装置结构100的阶段示意图。如图1a和图1b所示,半导体装置结构100包括基板102和形成在基板102上的一或多个装置200。基板102可以为半导体基板。在一些实施例中,基板102包括至少在基板102的表面上的单晶半导体层。基板102可以包括晶体半导体材料,例如硅(si)、锗(ge)、硅锗(sige)、砷化镓(gaas)、锑化铟(insb)、磷化镓(gap)、锑化镓(gasb)、砷化铟铝(inalas)、砷化铟镓(ingaas)、磷化镓锑(gasbp)、砷化镓(gaassb)、和磷化铟(inp),但不以此为限。举例来说,基板102由si制成。在一些实施例中,基板102为绝缘体上硅(silicon-on-insulator,soi)基板,其包括设置在两个硅层之间的绝缘层(未示出)。在一面向,绝缘层为含氧材料,例如氧化物。
57.基板102可以包括在基板102的表面上的一或多个缓冲层(未示出)。缓冲层可以用于逐渐改变从基板到源极/漏极区的晶格常数。缓冲层可以由外延成长的晶体半导体材料形成,例如si、ge、锗锡(gesn)、sige、gaas、insb、gap、gasb、inalas、ingaas、gasbp、gaassb、gan、gap、和inp,但不以此为限。
58.基板102可以包括适当地掺杂有杂质(例如,p型或n型杂质)的各个区域。举例来说,用于n型鳍式场效应晶体管(fin field effect transistor,finfet)的掺杂剂为磷,用于p型鳍式场效应晶体管(finfet)的掺杂剂为硼。
59.如上所述,装置200可以为任何合适的装置,例如晶体管、二极管、图像感测器、电阻器、电容器、电感器、存储器单元、或其组合。在一些实施例中,装置200为晶体管,例如平面式场效应晶体管(planar fet)、鳍式场效应晶体管(finfet)、纳米结构晶体管、或其他合
适的晶体管。纳米结构晶体管可以包括纳米片晶体管、纳米线晶体管、全绕式栅极(gate-all-around,gaa)晶体管、多桥通道(multi-bridge channel,mbc)晶体管、或任何具有围绕通道的栅极电极的晶体管。在基板102上形成的示例性装置200为鳍式场效应晶体管(finfet),如图1a和图1b所示。装置200包括源极/漏极(s/d)区124和栅极堆叠140(图1a中仅示出一个)。每个栅极堆叠140可以设置在作为源极区的源极/漏极(s/d)区124和作为漏极区的源极/漏极(s/d)区124之间。举例来说,每个栅极堆叠140可以沿着y轴在作为源极区的一或多个源极/漏极(s/d)区124和作为漏极区的一或多个源极/漏极(s/d)区124之间延伸。如图1b所示,在基板102上形成两个栅极堆叠140。在一些实施例中,在基板102上形成多于两个栅极堆叠140。在作为源极区的源极/漏极(s/d)区124和作为漏极区的源极/漏极(s/d)区124之间形成通道区108。
60.源极/漏极(s/d)区124可以包括半导体材料,例如si或ge、iii-v族化合物半导体、ii-vi族化合物半导体、或其他合适的半导体材料。示例性源极/漏极(s/d)区124可以包括ge、sige、gaas、algaas、gaasp、sip、inas、alas、inp、gan、ingaas、inalas、gasb、alp、gap等,但不限于此。源极/漏极(s/d)区124可以包括p型掺杂剂,例如硼;n型掺杂剂,例如磷或砷;及/或其他合适的掺杂剂,包括其组合。可以形成源极/漏极(s/d)区124通过外延成长方法,使用化学气相沉积(chemical vapor deposition,cvd)、原子层沉积(atomic layer deposition,ald)、或分子束外延(molecular beam epitaxy,mbe)。通道区108可以包括一或多种半导体材料,例如si、ge、gesn、sige、gaas、insb、gap、gasb、inalas、ingaas、gasbp、gaassb、gan、gap或inp。在一些实施例中,通道区108包括与基板102相同的半导体材料。在一些实施例中,装置200是鳍式场效应晶体管(finfet),通道区108是设置在栅极堆叠140下方的多个鳍片。在一些实施例中,装置200是纳米结构晶体管,栅极堆叠140环绕通道区108。
61.如图1a和图1b所示,每个栅极堆叠140包括设置在通道区108上方(或是围绕纳米结构晶体管的通道区108)的栅极电极层138。栅极电极层138可以为含金属材料,例如钨、钴、铝、钌、铜、其多层等,且可以沉积通过原子层沉积(ald)、等离子体化学气相沉积(plasma enhanced cvd,pecvd)、分子束外延(mbe)、物理气相沉积(physical vapor deposition,pvd)、或任何合适的沉积技术。每个栅极堆叠140还可以包括设置在通道区108上方的栅极介电层136。栅极电极层138可以设置在栅极介电层136上方。在一些实施例中,可以设置界面层(未示出)在通道区108和栅极介电层136之间,且可以在栅极介电层136和栅极电极层138之间形成一或多个功函数层(未示出)。界面介电层可以包括介电材料,例如含氧材料、含氮材料、或其多层,且可以形成通过任何合适的沉积方法,例如化学气相沉积(cvd)、等离子体化学气相沉积(pecvd)、或原子层沉积(ald)。栅极介电层136可以包括介电材料,例如含氧材料、含氮材料、具有大于二氧化硅的介电常数(k)的高介电常数(high-k)介电材料、或其多层。可以形成栅极介电层136通过任何合适的方法,例如化学气相沉积(cvd)、等离子体化学气相沉积(pecvd)、或原子层沉积(ald)。在一些实施例中,栅极介电层136可以为顺应层。此处使用术语“顺应(comformal)”以便于描述在各个区域上具有实质上相同厚度的层。一或多个功函数层可以包括碳化铝钛、氧化铝钛、氮化铝钛等。
62.栅极间隔物122沿着栅极堆叠140的侧壁(例如,栅极介电层136的侧壁)形成。栅极间隔物122可以包括碳氧化硅、氮化硅、氮氧化硅、氮碳化硅等、其多层、或其组合,且可以沉积通过化学气相沉积(cvd)、或原子层沉积(ald)、或其他合适的沉积技术。
63.如图1a所示,在每个源极/漏极(s/d)区124的两侧上可以设置鳍片侧壁间隔物123,且鳍片侧壁间隔物123可以包括与栅极间隔物122相同的材料。在隔离区114上可以设置栅极堆叠140、栅极间隔物122、和鳍片侧壁间隔物123的部分。在基板102上设置隔离区114。隔离区114可以包括绝缘材料,例如含氧材料、含氮材料、或其组合。在一些实施例中,隔离区114是浅沟槽隔离(shallow trench isolation,sti)。可以形成绝缘材料通过高密度等离子体化学气相沉积(high-density plasma chemical vapor deposition,hdp-cvd)、流动式化学气相沉积(flowable chemical vapor deposition,fcvd)、或其他合适的沉积工艺。在一面向,隔离区114包括通过流动式化学气相沉积(fcvd)工艺形成的氧化硅。
64.如图1a和图1b所示,在源极/漏极(s/d)区124和隔离区114上形成接触蚀刻停止层(contact etch stop layer,cesl)126,且在接触蚀刻停止层(cesl)126上形成层间介电(interlayer dielectric,ild)层128。当在层间介电(ild)层128中形成开口时,接触蚀刻停止层(cesl)126可以提供停止蚀刻工艺的机制。在源极/漏极(s/d)区124和隔离区114的表面上可以顺应地沉积接触蚀刻停止层(cesl)126。接触蚀刻停止层(cesl)126可以包括含氧材料或含氮材料,例如氮化硅、碳氮化硅、氮氧化硅、氮化碳、氧化硅、碳氧化硅等、或其组合,并且可以沉积通过化学气相沉积(cvd)、等离子体化学气相沉积(pecvd)、或原子层沉积(ald)、或任何合适的沉积技术。层间介电(ild)层128可以包括由正硅酸四乙酯(tetraethylorthosilicate,teos)形成的氧化物、无掺杂硅酸盐玻璃、或掺杂的硅氧化物,例如硼磷硅酸盐玻璃(borophosphosilicate glass,bpsg)、熔融石英玻璃(fused silica glass,fsg)、磷硅酸盐玻璃(phosphosilicate glass,psg)、硼掺杂硅玻璃(boron doped silicon glass,bsg)、有机硅酸盐玻璃(organosilicate glass,osg)、sioc及/或其他合适的低介电常数(low-k)介电材料(例如介电常数低于二氧化硅的材料),并且可以沉积通过旋转涂布、化学气相沉积(cvd)、流动式化学气相沉积(fcvd)、等离子体化学气相沉积(pecvd)、物理气相沉积(pvd)、或任何合适的沉积技术。
65.在层间介电(ild)层128之中和源极/漏极(s/d)区124上方可以设置导电接触件(未示出)。导电接触件可以是导电的且包括具有ru、mo、co、ni、w、ti、ta、cu、al、tin、或tan中的一或多种材料。导电接触件可以通过任何合适的方法形成,例如电化学镀(electro-chemical plating,ecp)或物理气相沉积(pvd)。在导电接触件和源极/漏极(s/d)区124之间可以设置硅化物层(未示出)。
66.如图2所示,半导体装置结构100还可以包括设置在装置200和基板102上方的互连结构300。互连结构300包括各个导电部件,例如多个第一导电部件304、多个第二导电部件306、以及金属间介电(intermetal dielectric,imd)层302以分离和隔离各个导电部件304、306。在一些实施例中,多个第一导电部件304为导线,且多个第二导电部件306为导孔。互连结构300包括多个层级的导电部件304,且导电部件304布置在每个层级中以提供到设置在下方的各个装置200的电性通路。导电部件306提供从装置200到导电部件304以及导电部件304之间的垂直电路由(electrical routing)。举例来说,互连结构300最底部的导电部件306可以电性连接到设置在源极/漏极(s/d)区124(图1b)和栅极电极层138(图1b)上方的导电接触件。导电部件304和导电部件306可以由一或多种导电材料制成,例如金属、金属合金、金属氮化物、或硅化物。举例来说,导电部件304和导电部件306由铜、铝、铝铜合金、钛、氮化钛、钽、氮化钽、氮化硅钛、锆、金、银、钴、镍、钨、氮化钨、氮化硅钨、铂、铬、钼、铪、其
他合适的导电材料、或其组合制成。
67.金属间介电(imd)层302包括一或多种介电材料以向各个导电部件304、306提供隔离功能。金属间介电(imd)层302可以包括嵌入多层导电部件304、306的多个介电层。金属间介电(imd)层302由介电材料形成,例如sio
x
、sio
xcyhz
、或sio
xcy
,其中x、y和z是整数或非整数。在一些实施例中,金属间介电(imd)层302包括介电常数(k)在约1至约5范围内的介电材料。
68.图3a-图3e根据一些实施例,示出制造互连结构300的各个阶段的剖面侧视图。如图3a所示,互连结构300包括介电层310,其可以是层间介电(ild)层或金属间介电(imd)层。举例来说,介电层310可以为层间介电(ild)层128(图1a和图1b)或金属间介电(imd)层302(图2)。介电层310可以包括与层间介电(ild)层128或金属间介电(imd)层302相同的材料。在一些实施例中,介电层310包括介电常数(k)在约1.5至约3.9范围内的低介电常数(low-k)介电材料。在一些实施例中,低介电常数(low-k)介电材料包括sioch。可以形成介电层310通过化学气相沉积(cvd)、流动式化学气相沉积(fcvd)、原子层沉积(ald)、旋转涂布、或其他合适的工艺。介电层310包括设置在介电层310中的一或多个导电部件312(仅示出一个)。导电部件312包括导电材料,例如cu、co、ru、mo、cr、w、mn、rh、ir、ni、pd、pt、ag、au、al、其合金、或其他合适的材料。在一些实施例中,导电部件312包括金属。可以形成导电部件312通过物理气相沉积(pvd)、化学气相沉积(cvd)、原子层沉积(ald)、或其他合适的工艺。在一些实施例中,导电部件312可以为设置在层间介电(ild)层128中的导电接触件,或者可以为设置在金属间介电(imd)层302中的导电部件304或306。在一些实施例中,导电部件312包括设置在介电层310和导电部件312的导电材料之间的阻挡层(未示出)。阻挡层可以包括导电材料,例如金属或金属氮化物。
69.在介电层310上设置介电层314。介电层314可以包括与介电层310相同的材料,且可以形成通过与介电层310相同的工艺。在介电层314中形成一或多个导电部件316。导电部件316包括导电材料,例如cu、co、ru、mo、w、ni、ti、zr、ta、zn、其合金、或其他合适的材料。形成导电部件316通过任何合适的工艺,例如电化学镀(ecp)、无电沉积(electroless deposition,eld)、物理气相沉积(pvd)、或化学气相沉积(cvd)。导电部件316可以为导电部件304。在一些实施例中,可以在介电层314和导电部件316之间形成阻挡层318,且可以在阻挡层318和导电部件306之间形成衬层320。可以形成阻挡层318和衬层320通过任何合适的工艺,例如化学气相沉积(cvd)、等离子体化学气相沉积(pecvd)、或原子层沉积(ald)。在一些实施例中,阻挡层318和衬层320为通过原子层沉积(ald)形成的顺应层。阻挡层318可以包括ta、ti、mn、zn、in、tan、tin、或其他合适的材料。在一些实施例中,导电部件316包括易于扩散的金属,例如cu,且阻挡层318可以防止金属从导电部件316扩散到介电层314。衬层320可以作为胶层,因此导电部件316和阻挡层318两者皆粘合到衬层320。衬层包括金属,例如钴。在一些实施例中,导电部件316包括不易于扩散的金属,例如co,且可以省略阻挡层318和衬层320。在一些实施例中,位于互连结构300顶部附近的导电部件316不包括衬层320,而位于互连结构300底部附近的导电部件316包括衬层320。
70.如图3b所示,在每个导电部件316上选择性地形成金属层322。在一些实施例中,阻挡层318和衬层320包括金属,且金属层322也形成在阻挡层318和衬层320上,如图3b所示。金属层322包括具有比导电部件316的材料相对更高的碳溶解度的金属。在一些实施例中,
金属层322包括ni、co、w、mo、ru,且导电部件316包括cu。选择性地形成金属层322在导电部件316、阻挡层318、和衬层320的金属表面上,而不形成金属层322在介电层314的电介质表面上。举例来说,可以首先用含有疏水官能基的气体对介电层314的露出表面进行处理,且在介电层314的露出表面上形成疏水官能基。含有疏水官能基的气体不与阻挡层318、衬层320、和导电部件316的金属表面反应。形成在介电层314的露出表面上的疏水官能基阻止在介电层314上形成金属层322。
71.如图3c所示,在金属层322和导电部件316之间形成含碳层324。含碳层324包括一或多层石墨烯,例如1至1000层石墨烯。可以形成含碳层324通过热或等离子体辅助化学气相沉积工艺。举例来说,在一些实施例中,形成含碳层324可以通过将含碳前驱物导入工艺室中,并且向含碳前驱物施加能量以形成包括含碳物质的等离子体。能量可以来自于电源,例如微波、电感耦合等离子体(inductively-coupled plasma,icp)、或电子回旋共振(electron cyclotron resonance,ecr)。工艺温度的范围在约0摄氏度至约450摄氏度,例如约400摄氏度至约450摄氏度。工艺压力的范围在约1torr至约4000torr。含碳前驱物可以为任何合适的含碳材料,例如含碳气体、含碳液体、或含碳固体。在一些实施例中,含碳前驱物包括ch4、c2h2、苯、或其他合适的含碳材料。在形成含碳层324期间,金属层322覆盖导电部件316。举例来说,在含碳层324的形成期间,不露出导电部件316的顶表面。与导电部件316相比,含碳层324具有更高的导电性。因此,降低了导电部件316的电阻率。
72.含碳物质扩散穿过金属层322并在导电部件316、阻挡层318、和衬层320上形成含碳层324。如上所述,金属层322包括具有与导电部件316、阻挡层318、和衬层320相比,碳溶解度相对较高的材料。因此,含碳物质不会扩散到导电部件316、阻挡层318、和衬层320中。此外,由于含碳物质扩散穿过金属层322,所以金属层322包括碳。含碳物质穿过金属层322从顶表面326经由金属层322的晶界扩散到底表面328。因此,碳可以沿着金属层322的晶界设置,且位于金属层322中碳的量可以具有从顶表面326向底表面328减小的梯度。此外,由于金属层322的低碳溶解度,含碳层324可以包括来自金属层322的金属原子插入其中,且含碳层324中的金属原子不同于导电部件316的材料。举例来说,含碳层324为多个石墨烯层,例如3至1000个石墨烯层,在石墨烯层之间插入有金属原子,且金属原子不同于导电部件316的金属。
73.如图3d所示,在介电层314、金属层322、以及金属层322和含碳层324的侧表面上形成蚀刻停止层330。蚀刻停止层330可以包括金属的氧化物或氮化物,例如al、ti、zr、hf、y、或其他合适的金属。在一些实施例中,蚀刻停止层330包括半导体的氧化物或氮化物,例如硅。可以形成蚀刻停止层330通过任何合适的工艺,例如化学气相沉积(cvd)或原子层沉积(ald)。
74.如图3e所示,在蚀刻停止层330上形成介电层332。在一些实施例中,介电层332包括与介电层314相同的材料,且通过与介电层314相同的工艺形成。在介电层332中形成一或多个导电部件334(仅示出一个)。导电部件334可以包括与导电部件312相同的材料,且可以通过与导电部件312相同的工艺形成。在一些实施例中,导电部件334包括设置在介电层332和导电部件334的导电材料之间的阻挡层(未示出)。阻挡层可以包括导电材料,例如金属或金属氮化物。如图3e所示,导电部件334与金属层322接触。由于含碳层324和金属层322都是导电的,所以导电部件334电性连接到导电部件316之一。
75.图4a-图4b根据替代实施例,示出制造互连结构300的各个阶段之一的剖面侧视图。在一些实施例中,如图4a所示,设置导电部件334穿过金属层322,并与含碳层324接触。可以去除金属层322的一部分以露出含碳层324的一部分,且在含碳层324的露出部分上形成导电部件334。相较于图3e所示的实施例,与含碳层324直接接触的导电部件334可以具有较低的电阻,因为金属层322包含碳而具有增加的电阻率。如图4b所示,在一些实施例中,导电部件334穿过金属层322和含碳层324设置,并与导电部件316接触。可以去除部分金属层322和部分含碳层324以露出部分导电部件316,且在导电部件316的露出部分上形成导电部件334。相较于图3e和图4a中所示的实施例,由于金属间的直接接触,导电部件316直接接触导电部件334可以具有最低的电阻。
76.图5a-图5c根据替代实施例,示出制造互连结构300的各个阶段的剖面侧视图。图5a所示的互连结构300与图3c所示的互连结构300处于相同的制造阶段。在介电层和金属层322上不形成蚀刻停止层330的情况下,去除金属层322,如图5b所示。可以通过任何合适的工艺去除金属层322,例如湿蚀刻工艺。在一些实施例中,在湿蚀刻工艺中使用乙酸溶液以去除金属层322,但实质上不影响介电层314和含碳层324。在一些实施例中,在去除金属层322之后,可以执行图3d、图4a、或图4b中描述的工艺。举例来说,在含碳层324和介电层314上形成蚀刻停止层330,在蚀刻停止层330上形成介电层332,且在介电层332中形成导电部件334。导电部件334可以与含碳层324或导电部件316接触。
77.在一些实施例中,在去除金属层322之后以及在形成蚀刻停止层330之前,在含碳层324上选择性地形成金属层336,如图5c所示。与由于包含碳而具有增加的电阻率的金属层322不同,金属层336不包含碳,且与金属层322相比,金属层336具有更低的电阻率。金属层336包括co、cu、ni、ru、w、mo、ti、zr、ta、zn、或其他合适的金属。在一些实施例中,金属层336包括与导电部件316相同的材料。选择性地形成金属层336在含碳层324上,而不在介电层314上。在一些实施例中,首先对含碳层324进行等离子体处理以活化(activate)含碳层324的表面。用于等离子体处理的气体包括n2、nh3或h2。金属层336的前驱物附着于含碳层324的活化表面,但不附着于介电层314。可以通过任何合适的工艺选择性地形成金属层336,例如原子层沉积(ald)、化学气相沉积(cvd)、无电沉积(eld)、或电化学镀(ecp)。
78.在一些实施例中,在形成金属层336之后,可以执行图3d和图3e、图4a或图4b中描述的工艺。举例来说,在金属层336和介电层314上形成蚀刻停止层330,在蚀刻停止层330上形成介电层332,且在介电层332中形成导电部件334。导电部件334可以与金属层336、含碳层324、或导电部件316接触。
79.图6a-图6f根据一些实施例,示出制造互连结构300的各个阶段的剖面侧视图。如图6a所示,在介电层314中形成导电部件601。与由具有较低碳溶解度的材料制成的导电部件316不同,导电部件601包括具有较高碳溶解度的材料。在一些实施例中,导电部件601包括与金属层322相同的材料。在一些实施例中,导电部件601包括易于扩散的金属,且在导电部件601和介电层314之间形成阻挡层318和衬层320以防止金属扩散到介电层314中,并将导电部件601黏合到阻挡层318。在一些实施例中,导电部件601包括不易扩散的金属,并且可以省略阻挡层318和衬层320。
80.如图6b所示,在每个导电部件601上形成含碳层602。含碳层602可以包括与含碳层324相同的材料,且可以通过与含碳层324相同的工艺形成。由于导电部件601包括具有高碳
溶解度的材料,因此碳物质在形成含碳层602时扩散到导电部件601中。在一些实施例中,衬层320也由具有高碳溶解度的材料制成,且碳物质扩散进入并穿过衬层320。阻挡层318包括具有低碳溶解度的材料。因此,如图6b的放大部分的图6c所示,在阻挡层318和衬层320之间形成含碳层606。含碳层606可以包括一或多个石墨烯层。因为碳物质从导电部件601的顶表面扩散到导电部件601中,所以形成在衬层320和阻挡层318之间的含碳层606可以具有沿x轴在从含碳层602到介电层310的方向上递减的厚度。举例来说,如示出含碳层606的剖面侧视图的图6d所示,形成在衬层320和阻挡层318之间的每个含碳层606在邻近含碳层602沿x轴具有第一厚度t1;且在邻近设置在介电层310上的阻挡层318的部分沿x轴具有第二厚度t2。第一厚度t1实质上大于第二厚度t2。在一些实施例中,每个含碳层602在设置在介电层310上的阻挡层318的部分和设置在阻挡层318的部分上方的衬层320的部分之间沿z轴具有第三厚度t3。换句话说,在一些实施例中,如图6d所示,每个含碳层606包括“u”形剖面轮廓,例如通过水平部分610连接两个倾斜部分608。每个倾斜部分608在倾斜部分608顶部具有第一厚度t1,且在倾斜部分608底部具有第二厚度t2。水平部分610具有第三厚度t3。第一厚度t1实质上大于第二厚度t2,第二厚度t2实质上大于第三厚度t3。由于碳物质从导电部件601的顶部扩散到底部,导电部件601中的碳浓度也从顶部到底部降低。
81.在一些实施例中,含碳层606包括来自导电部件601和衬层320的材料。举例来说,含碳层606包括两种不同的金属,例如ni、co、w、mo、或ru,其中一种金属与导电部件601相同,而另一种金属与衬层320相同。在一些实施例中,含碳层606包括具有两种不同金属的多个石墨烯层夹设于其中。
82.在一些实施例中,导电部件601包括具有低碳溶解度的材料,例如导电部件316的材料,例如铜。可以形成含碳层606通过扩散穿过衬层320。在一些实施例中,导电部件601包括具有高碳溶解度的材料,而衬层320和阻挡层318包括具有低碳溶解度的材料,且在每个导电部件601和对应的衬层320之间形成含碳层606。在一些实施例中,导电部件601、阻挡层318、和衬层320包括具有高碳溶解度的材料,且在每个阻挡层318和介电层314之间形成含碳层606。
83.如上所述,通过使碳物质扩散穿过具有高碳溶解度的材料形成含碳层606。在一些实施例中,在介电层314、阻挡层318、或衬层320上形成含碳层606通过任何合适的工艺。举例来说,可以在形成衬层320和导电部件601之前,在阻挡层318上沉积含碳层606,且在含碳层606上形成衬层320。换句话说,在材料上形成含碳层606,而不是通过使碳物质通过具有高碳溶解度的材料扩散形成含碳层606。
84.如图6e所示,去除含碳层602。可以去除含碳层602通过任何合适的工艺。在一些实施例中,去除含碳层602通过等离子体工艺,上述等离子体工艺实质上不影响介电层314、阻挡层318、衬层320、和导电部件601。由于含碳层606的露出部分尺寸小,阻挡层318和衬层320实质上不受影响。在一些实施例中,在去除含碳层606之后,可以执行图3d和图4b中描述的工艺。举例来说,在介电层314和导电部件601上形成蚀刻停止层330,在蚀刻停止层330上形成介电层332,且在介电层332中形成导电部件334。导电部件334可以与导电部件601接触。含碳层606可以降低导电部件601的电阻率。
85.在一些实施例中,在去除含碳层602之后,在导电部件601上选择性地形成金属层612。在一些实施例中,在阻挡层318、含碳层606、和衬层320上,也形成金属层612。金属层
612可以包括与金属层336(图5c)相同的材料,且可以通过与金属层336相同的工艺形成。在一些实施例中,在形成金属层612之后,可以执行图3d和图3e或图4b中描述的工艺。举例来说,在金属层612和介电层314上形成蚀刻停止层330,在蚀刻停止层330上形成介电层332,且在介电层332中形成导电部件334。导电部件334可以与金属层612或导电部件601接触。
86.图7根据替代实施例,示出制造互连结构300的各个阶段之一的剖面侧视图。如图7所示,导电部件601包括不易受金属扩散影响的材料,且不存在阻挡层318和衬层320。在一些实施例中,导电部件601包括co。可以形成含碳层602(图6b),且碳物质扩散穿过导电部件601。因此,在介电层314和导电部件601之间形成含碳层606以帮助减少导电部件601的电阻率。含碳层606包括导电部件601的金属。在介电层314、导电部件601、和含碳层606上,可以形成蚀刻停止层330、介电层332、和导电部件334。在一些实施例中,在导电部件601和含碳层606上形成金属层612,且在金属层612或导电部件601上可以形成导电部件334。
87.图3a-图3e和图6a-图6f中所示的导电部件316、601为通过单镶嵌工艺所形成的部件。在一些实施例中,导电部件316、601通过双镶嵌工艺形成,且每个导电部件316、601包括导线部分和导孔部分。在导孔部分上方可以设置导线部分。在一些实施例中,形成含碳层606围绕导线部分和导孔部分两者。在一些实施例中,在互连结构300中形成含碳层324、606通过使碳原子扩散穿过金属。类似的工艺,例如在前段(front-end-of-the-line,feol)或中段(middle-of-the-line,mol)工艺中,可以用于形成含碳层,例如石墨烯层。
88.本公开在各个实施例中提供互连结构及其形成方法。在一些实施例中,互连结构包括设置在介电层314中的导电部件316,且在导电部件316上形成含碳层324。形成含碳层324通过扩散穿过形成在导电部件316上的金属层322。一些实施例可以实现优点。举例来说,在形成含碳层324的工艺中,金属层322保护导电部件316。因此,降低了导电部件316的电阻率。
89.本公开提供一种互连结构的实施例。上述结构包括:第一介电层;一或多个第一导电部件,设置在第一介电层中,其中一或多个第一导电部件包括第一金属;以及多个石墨烯层,设置在每个所述第一导电部件上,其中石墨烯层包括插入其中的第二金属,且第二金属不同于第一金属。在一些实施例中,上述结构还包括:衬层,设置在每个所述第一导电部件和第一介电层之间;以及阻挡层,设置在第一介电层和衬层之间。在一些实施例中,第一金属包括铜,且第二金属包括镍、钴、钨、钼或钌。在一些实施例中,上述结构还包括:金属层,设置在石墨烯层上。在一些实施例中,金属层包括碳。在一些实施例中,上述结构,还包括:蚀刻停止层,设置在第一介电层和金属层上;第二介电层,设置在蚀刻停止层上;以及第二导电部件,设置在第二介电层中,其中第二导电部件设置在至少一个所述第一导电部件上方。在一些实施例中,第二导电部件设置穿过金属层。在一些实施例中,第二导电部件设置穿过石墨烯层。
90.本公开提供一种互连结构的另一实施例。上述结构包括:第一介电层;一或多个第一导电部件,设置在第一介电层中;以及含碳层,设置在每个所述第一导电部件和第一介电层之间,其中含碳层包括倾斜部分和连接到倾斜部分的水平部分,其中倾斜部分的顶部具有第一厚度,倾斜部分的底部具有第二厚度,其中水平部分具有第三厚度,并且其中第一厚度实质上大于第二厚度,第二厚度实质上大于第三厚度。在一些实施例中,上述结构还包括:衬层,与每个所述第一导电部件接触;以及阻挡层,设置在第一介电层和含碳层之间,其
中含碳层设置在衬层和阻挡层之间。在一些实施例中,含碳层包括多个石墨烯层,其中插入有两种不同的金属。在一些实施例中,上述结构还包括:蚀刻停止层,设置在第一介电层上和一或多个第一导电部件上方;第二介电层,设置在蚀刻停止层上;以及第二导电部件,设置在第二介电层中,其中第二导电部件设置在至少一个所述第一导电部件上方。在一些实施例中,第二导电部件与至少一个所述第一导电部件接触。在一些实施例中,上述结构,还包括:金属层,设置在蚀刻停止层和一或多个第一导电部件之间,且第二导电部件与金属层接触。
91.本公开提供一种形成互连结构的方法实施例。上述方法,包括:在第一介电层中形成一或多个第一导电部件;在每个所述第一导电部件上形成第一金属层;在第一金属层和每个所述第一导电部件之间形成含碳层;在含碳层上方形成蚀刻停止层;在蚀刻停止层上形成第二介电层;以及在第二介电层中形成第二导电部件。在一些实施例中,上述方法还包括:在形成蚀刻停止层之前,去除第一金属层。在一些实施例中,上述方法还包括:在形成蚀刻停止层之前,在含碳层上选择性地形成第二金属层。在一些实施例中,形成含碳层包括等离子体辅助工艺,且在每个所述第一导电部件上选择性地形成第一金属层。在一些实施例中,等离子体辅助工艺的工艺温度在约0摄氏度至约450摄氏度之间的范围。在一些实施例中,等离子体辅助工艺的工艺压力在约1torr至约4000torr之间的范围。
92.以上概述数个实施例的特征,以使本发明所属技术领域中技术人员可以更加理解本发明实施例的观点。本发明所属技术领域中技术人员应理解,可轻易地以本发明实施例为基础,设计或修改其他工艺和结构,以达到与在此介绍的实施例相同的目的及/或优势。在本发明所属技术领域中技术人员也应理解,此类等效的工艺和结构并无悖离本发明的精神与范围,且可在不违背本发明的精神和范围下,做各式各样的改变、取代和替换。因此,本发明的保护范围当视随附的权利要求所界定为准。
当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1