用于实施基于模型的光刻引导的布局设计的方法

文档序号:2683748阅读:117来源:国知局
专利名称:用于实施基于模型的光刻引导的布局设计的方法
技术领域
本发明涉及一种用于光刻的分辨率增强技术,更具体地,涉及一种用于基于模型的光刻引导的布局的系统和方法。
背景技术
例如,可以将光刻设备用在集成电路(IC)的制造中。在这种情况下,掩模可以包含对应于所述IC的单层的电路图案,并且可以将该图案成像到已经涂覆了一层辐射敏感材料(抗蚀剂)的衬底(硅晶片)上的目标部分(例如,包括一个或多个管芯)上。通常, 单个晶片将包含相邻目标部分的整个网络,所述相邻目标部分通过投影系统被一次一个地连续辐射。在一种类型的光刻投影设备中,通过将整个掩模图案一次曝光到所述目标部分上来辐射每一目标部分;这样的设备通常称作为晶片步进机。在可选的设备中,通常称为步进-扫描设备,通过沿给定的参考方向(“扫描”方向)在投影束下面逐步扫描掩模图案的同时,沿与该方向平行或反向平行的方向同步地扫描所述衬底台来辐射每一目标部分。因为,通常情况下,投影系统将具有放大因子(magnification factor)M(通常M< 1),衬底台扫描的速度V将是掩模台扫描的速度的M倍。这里所述的更多有关光刻设备的信息可以从例如US 6,046,792中得到,在这里以参考的方式将其内容并入本文中。在使用光刻投影设备的制造过程中,掩模图案被成像到至少部分地由一层辐射敏感材料(抗蚀剂)覆盖的衬底上。在该成像步骤之前,衬底可以经过多种工序,例如涂底料、抗蚀剂涂覆和软烘烤。在曝光之后,衬底可以经过其它工序,例如曝光后烘烤(PEB)、显影、硬烘烤和成像特征的测量/检验。这一系列的工序被用作对器件(例如IC)的单层进行图案化的基础。然后,这样的图案化层可以经过多种处理,例如蚀刻、离子注入(掺杂)、 金属化、氧化、化学-机械抛光等,所有这些处理用于完成一个单层。如果需要几层,则对于每个新的层必须重复整个工序或其变体。最后,在衬底(晶片)上将形成器件的阵列。然后,这些器件通过例如划片(dicing)或切割等技术彼此分割开,然后独立的器件可以安装到连接到插脚等的载体上。为了简化起见,下文中投影系统可被称为“透镜”;然而,这个术语应该被广义地解释为包括各种类型的投影系统,包括例如折射式光学系统、反射式光学系统和反射折射式系统。辐射系统还可以包括根据用于引导、成形或控制投影辐射束的这些设计类型中的任意类型来操作的部件,并且这些部件在下文中还可以被统称为或单独地称为“透镜”。而且,光刻设备可以是具有两个或更多个衬底台(和/或两个或更多个掩模台)的类型。在这种 “多台”的装置中,附加的台可以并行地使用,或者可以在一个或更多个台上执行预备步骤的同时使用一个或更多个其它的台进行曝光。例如,在US 5,969,441中描述了双台光刻设备,在这里以参考的方式将其内容并入本文中。上面提及的光刻掩模包括对应于将要被集成到硅晶片上的电路部件的几何图案。 用来形成这种掩模的图案使用CAD (计算机辅助设计)程序来生成,这种过程通常被称为 EDA(电子设计自动化)。大多数CAD程序依照一系列预定的设计规则以便产生功能化掩模。这些规则通过工艺和设计限制来设定。例如,设计规则限定电路器件(例如栅极、电容等)或互连线之间的空间容许量,以便确保电路器件或线不会彼此以不希望的方式相互影响。通常,设计规则限制被称为“临界尺寸”(CD)。电路的临界尺寸可以被定义成线或孔的最小宽度或两条线或两个孔之间的最小空间。因此,CD决定所设计的电路的总的尺寸和密度。当然,集成电路制造的目标之一是在晶片上(通过掩模)忠实地复制原始电路设计。通过以更低的成本推动增强的器件功能,集成电路工业自从其开始就具有相当高的增长速度。实现这种增长的主要因素之一是光刻技术能够稳定地减小形成为集成电路图案的一部分的最小特征尺寸。特征尺寸与成本的稳定下降与相应的每个电路所印刷的特征的密度的增长通常被称为“摩尔定律”或光刻“路标”。光刻过程包括在掩模或掩模版(这里掩模和掩模版可互换使用)上形成主图像, 然后将图像从掩模投影到涂覆有抗蚀剂的半导体晶片上,以便在晶片上形成与意图限定功能元件(例如晶体管栅极、触点等)的设计相匹配的图案。越多次地成功地在设计规格内将主图案复制到晶片上,则每个最终的器件或“芯片”的成本将越低。到目前为止,掩模图案已经与晶片水平上所需的图案几乎精确地完全相同,除了由于曝光工具的成像缩小比率,掩模水平图案比晶片水平图案大几倍。通常,掩模通过在石英或其他透明衬底上沉积和图案化光吸收材料而形成。然而,掩模被放置在已知为“步进机”或“扫描器”的曝光工具中,在此将具有特定曝光波长的光引导通过掩模照射到晶片上。光被传播通过掩模的空白区域, 而在由吸收层覆盖的区域被衰减所需的量,通常在90-100%之间。通过掩模某些区域的光还会相移预期的相移角,通常是180度的整数倍。在由曝光工具的投影光学元件收集之后, 最终的空间图像图案被聚焦到晶片上。沉积在晶片表面上的光敏材料(光致抗蚀剂或抗蚀剂)与光相互作用,以在晶片上形成所需的图案,并且随后所述图案被转移到晶片上的基本层,以便根据熟知的工艺形成功能电路。在近几年,被图案化的特征尺寸已经显著地变得小于用于将掩模图案转移到晶片上的光的波长。这种朝向“亚波长光刻”的倾向已经导致难以在光刻工艺中保持充分的工艺裕量。当特征尺寸与波长的比率减小,由掩模和曝光工具形成的空间图像丧失对比度和锐度。该比率由&因子量化,定义为曝光工具的数值孔径(NA)乘以最小特征尺寸Wf除以波长λ,即Ic1 = NA -Wf/ λ。在选择曝光波长时存在受限的应用灵活性,同时曝光工具的数值孔径接近物理极限。结果,器件特征尺寸的持续减小需要光刻过程中的Ic1因子的越来越迅速的减小,即在光学成像系统的经典分辨率限制处或以下成像。能够实现低-Ic1光刻的新的方法已经使用掩模上的主图案,这种主图案不再是对最终的晶片水平图案的精确复制。掩模图案通常在图案特征的尺寸和位置(作为图案密度或间距的函数)方面进行调整。其他技术包括已知为光学临近效应校正或OPC的在掩模图案(“衬线”、“锤头(hammerhead)”以及其他图案)上附加或减去额外的角部;和附加根本不希望在晶片上复制的其他几何形状。这些非印刷的“辅助特征”(也已知为亚分辨辅助特征(SRAFs)或散射条)的唯一目的是为了提高“主特征”的可印刷性。通常,SRAFs是位于主特征附近的小条,使得主特征的可印刷性对焦距和/或剂量的变化有较强的稳定性。 所有这些方法通常被统称为分辨率增强技术(RET)。随着Ic1的减小,邻近效应的幅度显著增大。在目前高端设计中,越来越多的器件层需要RET,并且几乎每一个特征边缘都需要一定量的调整、以确保所印刷的图案将合理地类似想要的图案。这种大量的RET应用的实施和检验仅可能由详细的全芯片计算光刻过程建模来实现,并且这个过程通常被称为基于模型的 RET。(见 C. Spence 在 Proc. SPIE,Vol. 5751,pp 1-14(2005)上的文章"Full-Chip Lithography Simulation and Design Analysis-How OPC Is Changing IC Design 禾口 P. Martin et al.在 Proc. SPIE,5853,pp 114-123(2005)上的文章"Exploring new high speed, mask aware RET verification flows,,)。制造先进的掩模组的成本不断地上升。目前,对于先进器件成本已经超过每个掩模组一百万美元。此外,运转(turn-around)时间总是重要因素。结果,帮助减小成本和运转时间的光刻驱动的RET设计已经变成半导体制造过程的组成部分。图1是用于将分辨率增强技术应用到设计布局中的现有技术方法中的流程图。在步骤110中,获得描述对应于半导体器件的功能元件(例如扩散层、金属轨迹、接触点和场效应晶体管的栅极)的图案的形状和尺寸的设计布局。这些图案表示需要通过光刻过程复制到晶片上、以便获得最终器件的特定电功能和规格的物理形状和尺寸的“设计意图”。该设计布局还被称为“预RET”布局或目标图案。正如上面所述,需要对这种设计布局进行大量的修正,以在用于印刷所需结构的掩模或掩模版上形成图案。在步骤112中,多种RET方法被应用到所述设计布局,以便近似在实际印刷的图案中想要的设计。最终的“RET后”的掩模布局通常与“预RET”的设计布局显著不同。预RET和RET后的布局两者都以基于多项式的分级数据文件的形式,例如但不限于GDS或OASIS的形式,被提供给光刻模拟系统。在步骤114中,作为一个示例,晶片上的抗蚀剂轮廓采用RET后布局和光刻过程的模型进行模拟。这个模型包括描述从RET后布局到空间图像(Al)的转化的光学模型分量和描述从AI到最终抗蚀剂图像(RI)的转化的抗蚀剂模型分量。在步骤116中,从RI中提取出模拟的抗蚀剂轮廓并与目标设计布局进行比较,和在步骤118中确定是否所模拟的抗蚀剂轮廓是可接受的(即,在预定的误差容许量内)。如果它们是不可接受的,则所述方法返回到步骤112,在步骤112处将RET方法的其他反复应用到预RET布局上。如果所模拟的抗蚀剂轮廓是可接受的,则输出RET后的布局并用于制造实际的掩模(步骤120)。光刻模拟的中心部分是光刻过程的模型的光学模型分量,其模拟曝光工具中的投影和图像形成过程。光学模型需要并入照射系统和投影系统的临界参数,例如但不限于,数值孔径和空间相干设置、照射波长、照射器源形状以及可能的系统缺陷(例如像差或反射光斑)。投影系统和多种光学效应(例如高NA衍射、标量或矢量、偏振以及薄膜多次反射) 可以采用传递交叉系数(Transmission Cross Coefficient) (TCCs)进行模型化。TCCs可以采用本征级数展开分解成卷积核。考虑到计算速度,级数通常基于本征值的秩被截断,得到核的有限集。保持越多的核,则由截断引入的误差越少。在美国专利第7,003,758号中描述的光刻模拟系统在不会对计算时间产生负面影响的情况下采用极大量的卷积核实现光学模拟,因而实现高精确的光学模型化。当光刻工艺进入到65nm节点以下,前沿的芯片设计具有小于在先进曝光工具中使用的光波长的最小特征尺寸。亚分辨辅助特征(SRAFs)变得不可缺少,即使OPC技术提供好的结果。通常,OPC将修正设计布局,使得抗蚀剂图像(RI)轮廓足够接近名义条件下的设计目标。然而,在没有任何额外的特征的情况下,过程窗口(PW)相当小。需要SRAFs来提高在更宽的散焦范围内以及德尔他(△)剂量情形下主特征的可印刷性,以便在光刻过程中保持充分的加工裕量。对于布局本身,主特征图案的相对位置也在PW尺寸中起重要作用。例如,对于一维图案,设计必须避免禁用的间距,这些禁用的间距是重复图案的一周期,会导致非常低的可印刷性。对于特定的禁用的间距,没有SRAF或OPC能够帮助形成所需的PW。对于一维图案(例如线和间隔图案),相对易于确定一组规则来避免布局设计中的禁用间距。然而,通常的芯片设计由具有复杂的二维几何形状的许多图案构成,并且没有简单的规则能够提供避免布局的错误位置(例如由于禁用间距)并有效地利用空间的设计。因此,除了采用已知的OPC技术校正外,非常需要一种用于改善掩模形成过程以便进一步改善最终成像性能的方法和/或工艺,其可以适用于复杂的和不同的二维目标图案。

发明内容
由于上面的原因,本发明涉及一种用于确定掩模布局内的主特征或目标特征 (即,将要成像的特征)的优选位置的基于模型的方法。总的来说,本发明的方法执行反复过程,其中一个或更多个目标特征被布置在掩模设计中并然后执行模拟过程,例如但不限于,生成如美国专利申请第11/757,805号所述的SRAF引导图(SGM),并且所述SGM被用来辅助确定下一个将要在掩模设计中布置的目标特征的优选位置。一旦一个或更多个附加特征被布置在掩模设计中,其他模拟过程被运行,其利用了当前掩模设计中所有的特征,然后该模拟的结果被用来将附加的特征设置在掩模设计中。这种反复的模拟和特征布置过程被连续执行,直到所有特征已经布置在所述掩模设计中为止。前面的过程被称为基于模型的光刻引导布局(LGL)。一旦设计完成,则可以对掩模进行OPC和RET处理。要注意的是,给定特征在掩模布局中可以移动或重新定位的量通常由控制全部掩模布局的设计规则来确定。更具体地,本发明的基于模型的LGL方法包括生成多个布局引导图(LGM)。利用合适的模拟模型生成的每个LGM表示用来生成给定LGM的相关掩模的成像性能。在一个实施例中,LGM是二维QD)图像,其通过与给定掩模的成像性能对应的像素值表示,其中LGM的每个像素值指示给定的像素是否适于新的线或特征(即图案)的布置如果新的图案被布置在该像素上,像素值越高,对已有的图案的可印刷性的贡献越大;如果新的图案被布置在该像素上,像素值越低,对已有图案的可印刷性的负面相反影响越大。换句话说,一旦为给定掩模生成LGM,通过LGM分析将要布置新的特征的区域,以确定布置在这个新的位置上的特征是否将加强已经存在于掩模上的特征的印刷,或是否将负面地影响已经存在于掩模上的特征的印刷。如果是前者,新的特征被布置在所指示的位置上,如果是后者,随后确定是否可以将新的特征的布置偏移(在允许的限度内),使得该特征加强掩模中的特征的成像, 或者至少减小对印刷的负面影响。一旦这个步骤完成,被考虑的新的特征被加入到掩模设计中,并且运行新的LGM(即,模拟)用于掩模修正,以包括所述新的特征,随后对下一个特征(或特征组)重复这个过程以被加入到掩模中。正如提到的,重复这个过程直到所有目标特征都被处理。根据LGL过程,对应过程窗口和通过焦距以及通过Δ剂量最优化LGM。通过加权边缘点,LGM还允许边缘点,由此允许临界主特征的最优化。在本发明的一个实施例中,以与US专利申请出版物第11/757,805中公开的SRAF引导图(SGM)相同的方式计算LGM。然而,任何能够模拟成像过程的合适的模拟模型可以用在本发明的过程中。在另一实施例中, 利用例如在美国专利第7,247, 574号中公开的干涉图形成LGM,该专利全文并入。正如上面提到的,在本发明的过程的一个实施例中,在掩模设计中形成目标图案的图案的布置以连续的方式进行。具体地,在每一次反复中,一个或一些主图案被布置在掩模设计中并且计算所有已有的图案(即,那些已经布置在掩模设计中的图案)的新SGM。这种SGM生成有关掩模设计中将要被布置的下一个主图案或特征的最佳或最差位置的信息, 并且随后基于SGM的结果,这些特征被布置在掩模设计中。重复这个过程,所有图案/特征被加入到掩模设计中(即,掩模布局)。本发明提供超过现有技术方法的明显的优点。最重要的是,本发明提供系统的、快捷的并且成本有效的基于模型的用于在掩模设计中最优化主特征(即,目标特征) 的布置的方法。此外,本发明超过已有工艺或过程改善了设计可制造性(design for manufacturability) (DFM)能力,并且可以提供亚波长光刻过程中改善的工艺裕量。虽然在本文中详述了将本发明用于制造ICs (集成电路),但是应该明确地理解到本发明可以有其它可能的应用。例如,本发明可以用于制造集成光学系统、磁畴存储器的引导和检测图案、液晶显示面板、薄膜磁头等。本领域技术人员应该认识到,在这种替代应用的情况中,可以将本文使用的任何术语“掩模版”、“晶片”或“管芯”分别认为是可以由更上位的术语“掩模”、“衬底”或“目标部分”替换。。术语“辐射”和“束”用于包含全部类型的电磁辐射,包括紫外辐射(例如具有约 365、248、193、157或126nm的波长)和EUV (极紫外辐射,例如具有5_20nm范围的波长)。本文采用的术语“掩模”可以广义地解释为可以用于将与将要在衬底的目标部分上形成的图案相对应的图案化横截面赋予入射的辐射束的一般图案形成装置;术语“光阀” 也可以用在本文中。除了传统的掩模(透射型的或反射型的;二元型的、相移型的、混合型的掩模等),其它这样的图案形成装置的示例包括 可编程反射镜阵列。这种器件的一个示例是具有粘弹性(viscoelastic)控制层和反射表面的矩阵可寻址表面。这种装置所依据的基本原理在于例如反射表面的寻址区域将入射光反射成衍射光,而非寻址区域将入射光反射成非衍射光。使用适当的滤光片,从反射束中过滤掉所述非衍射光,仅留下衍射光;以这种方式,辐射束根据所述矩阵可寻址表面的所述寻址图案被图案化。所需的矩阵寻址可以使用合适的电子装置来执行。有关这种反射镜阵列的更多信息可以例如从美国专利US 5,296, 891和US5,523,193中收集到,这里以参考的方式将其内容并入本文。 可编程IXD阵列。这种结构的示例在美国专利US 5,2 ,872中给出,这里以参考的方式将其内容并入本文。本发明本身,以及另外的目标和有益效果可以参照下面的详细描述和示意附图更好地进行理解。


图1是用于将分辨率增强技术应用于设计布局的现有技术方法的流程图;图2是示出根据本发明一个实施例的用于执行光刻引导布局过程的步骤的示例的流程图;图3是用于触点层的设计布局的SRAF引导图(SGM)的示例性实施例;图4是示出用于形成SRAF引导图(SGM)的第一方法的示例性流程图;和图5是示出用于产生SRAF引导图(SGM)的第二方法步骤的示例性流程图;图6A示出根据本发明的用于使用SGM产生SRAF布置规则的测试特征和坐标系统的一个实施例;图6B示出根据本发明的用于使用SGM产生SRAF布置规则的测试触点特征和坐标系统的一个实施例;图6C示出根据本发明的用于使用SGM产生SRAF布置规则的测试特征和坐标系统的一个实施例;图7是根据本发明一个实施例的使用SGM用于SRAFs的不受规则约束的布置的方法步骤流程图;图8是根据本发明一个实施例的用于将产生基于模型的SRAF与应用OPC校正结合的方法步骤的流程图;图9示出应用现有技术的SRAF布置规则之后布局中的特征的临界尺寸图;和图10示出根据本发明一个实施例的应用采用SGM产生的SRAF布置规则之后布局中的特征的临界尺寸图;图11是根据本发明一个实施例的一种用于产生基于模型的亚分辨特征的方法的流程图。
具体实施例方式图2是示出本发明的LGL过程的示例性过程的示例性流程图。在所述过程的第一步骤中(步骤210),采用目标设计,包含在目标设计中的一个或更多个特征根据它们在目标图案中相应的位置被布置在掩模图案中。注意的是,每一次反复中从目标图案中将要加入到掩模图案中的特征的数量可以通过例如操作者确定,或被限定为某个固定数量,或者可以通过被采用的过程和在目标设计中被认为是重要的特征的数量进行控制。在其他变体中,可能每次反复仅加入一个特征。在步骤220处,执行给定光刻过程(也就是,用于照射目标图案的过程)的当前掩模图案的照射的模拟,以便形成空间图像(或其等价物),其示出当前掩模图案的成像性能,包括示出当前掩模上的特征邻近区域和周围区域是否正面或负面地影响当前掩模上的特征的成像。正如上面提到的,可以采用提供上述信息的任何合适的模型。在给定的实施例中,所采用的模型是用于产生上述的SGM的其中之一。参考图2,在步骤220和230中,采用例如单核或多核计算模拟对应于当前掩模的空间图像,然后以例如下面详细描述的方式来确定SGM。正如所述的,SGM基于像素-像素提供如果附加特征位于给定像素的位置上时、 所述给定像素将是否正面地有利于已有掩模图案的通过焦距和通过剂量边缘行为的指示。 换句话说,如果SGM值是正的,则布置在那里的假定单元源(hypothetical unit source) 将改善已有图案的整个通过焦距和通过剂量边缘行为;SGM值越大,改进越显著。如果SGM 值是负的,则布置在那里的假定单元源将负面地影响或弱化已有图案的整个通过焦距和通过剂量边缘行为。一旦产生SGM,其对应于“表决图(vote map) ”或布局引导图(LGM),并且表示在当前掩模的场区域(即,其上还没有设置特征的区域)中对于每个像素在掩模上的当前特征的所有边缘点的集成,以及提供在场区域内的每个像素是适于在其上施加特征(即,像素有利于当前掩模特征的成像)还是如果可以、则应该避免(即,像素不利于当前掩模特征的成像)的指示。在过程的下一步骤(步骤M0)中,LGM或表决图被用来确定将要在掩模设计中布置的下一个特征或下一组特征的优选位置。作为一个可能的示例(下面公开一种可选的方法),这可以通过以下方式来实现即,例如将其中下一特征在初始时已经被指定的LGM的区域内的像素的值积分,并且随后如果在这个区域的像素的和的值高于某一预定阈值(表示可以正确地成像的特征),所述特征被加到指定空间/位置中的掩模设计。然而,如果像素的和低于预定阈值,则LGM被用于确定是否可以在所述掩模设计内重新定位所述特征, 使得在对应于所述特征的调整后的位置的所述区域内的像素的和高于预定阈值。通过在掩模设计内部沿一个或更多个方向偏移特征的位置,可以增大像素的和的值,并且由此最优化将要添加的特征对已有特征的影响。一旦当前考虑的特征被布置在掩模设计内,过程进行到步骤250,其确定是否目标图案或设计中的所有特征都已经被处理。如果答案是“是”,过程进行到步骤260并且完成布局。如果答案是“不是”,过程回到步骤220并且重新计算新的SGM,该新的SGM包括当前布置在掩模设计内的所有特征(包括在前面的反复过程中增加的那些特征),然后重新执行步骤220-250,直到所有目标图案内的特征已经被处理。图11是示出根据本发明一个实施例的用于产生基于模型的亚分辨辅助特征的一种方法的流程图。在步骤1110中,获得掩模布局。所述掩模布局通常是预OPC(设计)布局。在步骤1112中,产生SRAF引导图(SGM)用于掩模布局。所述SGM是图像,其中如果像素被包括作为SRAF的一部分,则每个像素值指示所述像素将是否有利于掩模布局中的特征的通过焦距和通过剂量边缘行为。如果每个像素的SGM值是正的,则在那个像素位置的单元源(即,单个像素SRAF)将改善整个通过焦距和通过剂量边缘行为且SGM值越大,改善越显著。下面结合图4和5进一步详细描述SGM的形成。在步骤1114中,使用SGM产生掩模布局的SRAF布置规则。下面结合图6A、6B以及6C进一步描述基于SGM的SRAF布置规则的产生。在步骤1116中,采用SRAF布置规则将SRAF布置在OPC后的布局中。在可选的步骤1118中,采用SGM微调已布置的SRAFs。例如,SGM可以指示已布置的SRAF应该稍微比由所述规则指出的宽度宽。图3示出触点层的SGM的示例,其中方块表示触点310。如果没有考虑掩模规则检查和SRAF可印刷性问题,在给定的例性SGM中,在不位于特征内部或邻近特征的亮区域(例如区域312)内的像素具有正的SGM值,因此对于新的图案的布置将是合适的。在暗的区域内的像素,其是具有负的SGM值的像素,如果可以将应该相对于新图案的布置被避免。 注意的是,可以为任何包括暗场和清洁掩模的掩模层的掩模布局产生SGM。注意的是,通过焦距和通过剂量边缘行为可以采用设计目标边缘位置处的空间图像的边缘斜率进行描述。对于剂量和散焦的变化,更高的边缘斜率改善特征的过程窗口稳定性。剂量变化基本上是阈值变化,而散焦可以较好地通过低通模糊效应(low-pass blurring effect)来近似。高的边缘斜率同时改善对抗剂量和散焦变化的稳定性,这改善整个过程窗口。因而改善过程窗口稳定性的目标转变为增大设计目标边缘位置处的边缘斜率的目标。图4是用于产生SRAF引导图(SGM)的第一方法的示例性流程图。图4中的方法是单核近似,其中假定曝光工具的光学路径是“接近”相干的,并且仅考虑曝光工具的TCC 的第一项。部分相干空间图像强度可以用公式表示如下I = U*(M Fq)2 + LK*{M F\ )-4-...+ L*{M%Fnf其中M是掩模图像;11是传递交叉系数(TCCs)的特征值的数目;F。到Fn是对应于每个TCC项的真实空间的滤波器;Ltl到Ln是每个TCC项的对应的特征值;“ (8) ”表示卷积, 而"氺,,是正规倍乘(regular multiplication)。在图4的单核近似中,重点在于来自对应于具有最大绝对值的特征值的核的空间图像振幅,则Α^4 ^M F其中F = F(x,y)是标量场。这个场的梯度矢量被表示为乃台(JT,力=(O1, Dv), 其中(Dx,Dy)是具有两个分量的矢量场D =——=-
V dx 办对于边缘,其边缘矢量E被定义如下其方向垂直于边缘,并且指向空间图像A中的具有正边缘斜率的方向。现在,从一个边缘位置,边缘的环境被看成场。假定单元源位于场位置(X,y)处,则对于任意点(X1, Y1)的空间图像振幅是F(Xl-X,y「y)。这个单元源对位于(X' ,1')处的边缘点的斜率的贡献成比例为S (Jt, ytx\f} = D(x'-x, y'-y) * E(x\ y')其中“*”表示内矢量倍乘,因此结果是标量S(x,y,x',y')。因此,对于每一个边缘点,每个场位置对其斜率的贡献都是可以计算的。在一些场位置处的单元源将给出正的贡献,一些是负的。随后,这种贡献可以看成这个边缘点“表决”在掩模布局中的场点是否应该被布置以单元源。接下来,对于每个场点,来自所有边缘点的“表决”被结合起来以产生对这个场点的结合的最终表决。这个最终表决是关于这个场点是否应该被布置以单元源。因而,将阈值应用到这个表决场以决定在何处布置下一个图案。如果使用这种滤波操作将出现一个问题,也就是其在每个边缘点被应用。因为边缘点可以非常不规则,这种操作会是非常耗费计算量。这种强力表决计数方案的其他缺点是(1)边缘被采样,因而来自连续的边缘的影响没有被考虑;( 角的边缘位置是来自预-OPC布局的尖锐的角,这实际上不是所需的轮廓目标位置。角的真实的目标轮廓实际上是圆角,并且在该圆轮廓上的斜率应该被提高。为了解决这个问题,上述的表决计数(vote count)操作被转换成经典的图像处理算法,采用三次快速傅里叶变换(FFT)操作实现表决计数。通过采用FFT操作用公式表示表决计数处理,在有或没有硬件加速(例如在美国专利第7,003,758中公开的全芯片光刻模拟系统中应用的)的情况下,极大地提高了计算速度。而且,采用FFT计算自动地克服了上面提到的两个缺点。所有的边缘被连续地考虑,并且角是圆的(倒圆量依赖于像素尺寸)。在步骤418中,获得预-OPC掩模布局M(x,y)。预-OPC掩模布局的梯度图
Λ ,…广、是矢量图,由组成Gt =^^4=^^ 且成。这样,精确的边 G(x,y) = (GxMi)Bjt^y
缘点是所有具有梯度的点。对特定场点的表决来自具有非零梯度的掩模图像中的每个点, 基于在那个场点上的单元源是否将提高梯度。对于在场点(x,y)处的单元源,其对在(χ', γ')处的梯度值的贡献为v(x,y,x\f) = 0(^,/-)-) + 0( Jt*, >·')= Gx (x\ y')Dx(x'-x, V-y) - Gy(x\ y')Dy{x'-x, y'-y)再次,“*”表示内矢量倍乘。“V”值可以为从(x,y)处的梯度到场点(x' , y') 的表决,因而来自场点(x,y)处单元源的总的表决和是ν'(Λ.,>') 二 Σ K-*, .V, Jf',/)= Σ y')Dx(x-x, y-v) + Gy(x\ f)Dv(x'-xty'-y)}Gx和Gy是M(x,y)的两个梯度分量图像,Dx和Dy是已知的滤波器。求和操作是在规则图像格栅上的标准卷积滤波。因而,V可以通过两个滤波操作进行计算。如果在真实空间中操作的话,这两个滤波操作是非常昂贵的,因为Dx和Dy是不可分离的大的滤波器。 因此,为了使这两个滤波操作可控,在频率域内对它们执行。在频率域中,不需要清楚地计算foe和Gy。代替地,可以直接从M (x,y)计算foe和 Gy0如果Z(X)是任意函数,FFT (Z(x))是其傅里叶变换,并且F (x) = dZ/dx是其导数, 而Z(X)的傅里叶变换是FFT (Z ‘ (χ)) = if FFT (Ζ (χ)其中i是虚数单位,f是频率。结果,FFT (Gx) = ifxFFT (M),FFT(Gy) = ifyFFT (M)FFT(Dx) = ifxFFT (F),FFT(Dy) = ifyFFT (F)因而,在场点(χ,y)处的总的表决和,SGM值是njc,y) = £ [g%(x\ ylDJx'-x, f-y) + Gy(x\ /)0,.(^, y~y)]
U'.y')= y) % Dx (-Xr-y) — Gy(x, y) €) Dv(-x,-y)
权利要求
1.一种用于在掩模布局中布置亚分辨辅助特征的方法,包括步骤产生用于所述掩模布局的SRAF引导图,其中所述SRAF引导图是这样的图像即,在所述图像中如果所述像素被包括作为亚分辨辅助特征的一部分,则每个像素值指示所述像素将是否对所述掩模布局中的特征的边缘行为提供正面贡献;和根据所述SRAF引导图在所述掩模布局中布置亚分辨辅助特征; 其中,产生SRAF引导图的步骤包括采用表示曝光工具的光学路径的传递交叉系数计算双线性SRAF引导图核; 采用所述传递交叉系数计算线性SRAF引导图核; 采用所述双线性SRAF引导图核和所述掩模布局计算部分SRAF引导图; 采用所述线性SRAF引导图核和所述掩模布局计算第二部分SRAF引导图;和将所述部分SRAF引导图和所述第二部分SRAF引导图结合。
2.一种具有包括亚分辨辅助特征的掩模布局的掩模,其中所述亚分辨辅助特征根据 SRAF引导图进行布置,其中所述SRAF引导图是这样的图像S卩,在所述图像中如果所述像素被包括作为亚分辨辅助特征的一部分,则每个像素值指示所述像素将是否对所述掩模布局中的特征的通过焦距和通过剂量边缘行为提供正面贡献。
3.一种用于在掩模布局内确定一个或更多个特征的位置的方法,包括步骤 在所述掩模布局内布置第一特征;基于所述第一特征的布置执行掩模模拟,其中执行所述掩模模拟的步骤包括产生SRAF 引导图;和基于从所述模拟中获得的结果确定用于在所述掩模布局中布置第二特征的位置。
4.根据权利要求3所述的方法,还包括步骤 在所确定的位置布置所述第二特征;和基于前面布置的特征反复地重复执行掩模模拟的步骤,确定用于在所述掩模布局内布置另一特征的位置,并且布置所述另一特征直到所需数目的特征已经布置在所述掩模布局中。
5.根据权利要求4所述的方法,还包括步骤采用OPC最优化所述掩模布局。
6.根据权利要求4所述的方法,还包括步骤采用分辨率增强技术最优化所述掩模布局。
7.根据权利要求4所述的方法,还包括步骤产生多个布局引导图,其中每个布局引导图表示掩模布局的模拟的成像性能。
8.根据权利要求7所述的方法,其中,所述每个布局引导图包括具有多个像素值的两维图像,基于一个或更多个所述像素值计算特征的所述布置。
9.根据权利要求8所述的方法,其中,每个所述像素值表示对布置在所述像素上的一部分特征的所述掩模布局内的一个或更多个图案的可印刷性的影响。
10.根据权利要求9所述的方法,其中,对可印刷性的所述影响是负面的影响。
11.根据权利要求9所述的方法,其中,布置在所述像素上的所述部分特征提高所述一个或更多个图案的可印刷性。
全文摘要
本发明公开一种用以产生有效的基于模型的亚分辨辅助特征(MB-SRAF)的方法。产生SRAF引导图,其中每个设计目标边缘位置为给定场点表决有关布置在该场点上的单像素SRAF将改善还是弱化整个过程窗口的空间图像。在一个实施例中,SRAF引导图被用于确定SRAF布置规则和/或用于微调已经布置的SRAFs。SRAF引导图可以直接用于在掩模布局中布置SRAFs。可以产生包括SRAFs的掩模布局数据,其中根据SRAFs引导图布置SRAFs。SRAF引导图可以包括这样的图像即,在所述图像中如果像素被包括作为亚分辨辅助特征的一部分,每个像素值指示所述像素将是否对所述掩模布局中的特征的边缘行为提供正面贡献。
文档编号G03F7/20GK102566254SQ20121003123
公开日2012年7月11日 申请日期2008年6月3日 优先权日2007年6月4日
发明者冯函英, 叶军, 曹宇 申请人:Asml荷兰有限公司
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1