使用非矩形沟道来提升晶体管的性能的制作方法

文档序号:7210429阅读:231来源:国知局
专利名称:使用非矩形沟道来提升晶体管的性能的制作方法
使用非矩形沟道来提升晶体管的性能
背景技术
随着半导体制造工艺持续缩小,演进的光刻设备、光学邻近校正方法和不断增多的限制性设计规则通常在维持期望的晶体管形状并且尤其是在维持期望的尺寸方面表现良好。然而,持续的版图(layout)缩放与诸如线边缘粗糙之类的不期望效应相结合带来了与针对45nm之下技术节点的晶体管性能变化性有关的、日益令人烦扰的若干问题。具体地,在将具有不同沟道宽度的若干晶体管彼此紧挨布置时,有源层中的边缘变得越来越曲线化,并且随着栅极长度逼近30nm之下以及沟道宽度逼近IOOnm之下,线边缘粗糙不再跨沟道平均分布。相反地,线边缘粗糙除光学邻近效应和蚀刻偏差效应之外还影响有源层弯曲。图IA图示了典型的集成电路版图部分,在该部分中,具有不同沟道宽度的三个晶体管共享共同的扩散版图形状110。三个晶体管可由分别代表晶体管T112、T114和T116的多晶硅栅极形状112、114和116标识。在附图上,从左到右,晶体管Τ112具有最大宽度,晶体管Τ114较窄,并且晶体管Τ116最窄。为了限定沟道宽度,扩散版图形状110的一个纵向边(在附图中的上部边118)在正好在栅极形状112左边的纵向位置120处向内(在附图中向下)凹陷,并且在正好在栅极形状116左边的纵向位置122处再次向内(在附图中向下)凹陷。扩散版图形状110的另一纵向边(在附图中的下部边124)在正好在栅极形状 114左边的纵向位置126处向内(在附图中向上)凹陷。通常在靠近较窄晶体管沟道的纵向位置处进行凹陷,从而使得流经较宽晶体管沟道的电流最大化。在扩散版图形状110中, 凹陷120、122和126分别导致位于靠近栅极形状纵向位置处的内拐角1观、130和132。图2图示了可能使用现有技术的193nm步进机印刷的集成电路上的特征,以及使用图IA中的形状生成的投影式掩膜(mask)组。可以看出,版图形状中所有的矩形拐角由于衍射效应而圆化。已在图2的示意图上绘制的3个圆图示了有源/扩散层的圆化半径在 60nm左右。不能通过光学邻近校正(OPC)技术显著地减小半径。此外,可以看出,由于紧密的多晶硅间距,圆化拐角1观、130和132延伸进入到晶体管沟道中,并且破坏了预期的矩形沟道形状。圆化拐角的基本原因是需要使晶体管具有可以通过有源/扩散层中的凹陷实现的不同沟道宽度以及193nm光刻不能将这样的凹陷挤入到两个多晶硅栅极之间。随着多晶硅到多晶硅距离随着每个技术节点以0. 7x缩小,可以预期这个问题将变得更加严重。典型的多晶硅到多晶硅距离预期为针对32nm节点为95nm,并且在22nm节点下为65nm。这两个距离都小于两个拐角圆化半径,这使得以下情况一定会发生,即,当晶体管制造工艺缩小到这些节点时,沟道形状将是非矩形的。到现在为止,仍然不清楚这样的沟道形状将会如何影响晶体管性能参数,以及因此如何影响电路性能和可靠性。

发明内容
大致来说,本发明包括用于集成电路的版图和投影式掩膜,在该集成电路中,晶体管的扩散形状包括在一个或者两个横向相对的边上横向延伸的凹陷,该凹陷具有内拐角和外拐角,两个拐角中的至少一个相对于栅极导体纵向地定位,从而使得在将扩散形状光刻印刷到集成电路上期间,拐角将圆化并且至少部分延伸进入沟道区域中。本发明还包括以下的一些方面用于引入这种凹陷的系统和方法,以及具有非矩形沟道区域的集成电路器件,该沟道区域在其与源极区域相交处比在栅极之下的一些其他纵向位置处宽。为了提供本发明某些方面的基本理解而提供了以上内容。该内容并不旨在标识本发明的重要或者关键元素,也并不旨在描绘本发明的范围。其唯一的目的在于以简单的形式给出本发明的一些概念,以作为稍后将给出的更详细描述的序言。在权利要求、说明书和附图中描述了本发明的特定方面。


图IA图示了电路设计的版图区域的平面图。图IB图示了在图IA中示出的直线A-A’处截取的、从图IA的版图区域获得的集成电路的一部分的截面图。图2图示了从图IA的版图区域产生的集成电路的一部分的平面图。图3示出了并入了本发明一些方面的示例性数字集成电路设计流程的简化表示。图4是图示了与本文讨论的一些实施例有关的设计流程的一些方面的流程图。图5是图4中用于对电路进行布图的步骤的流程图细节。图5A是用于对库单元进行布图的设计过程的流程图。图6A、图7A、图8A和图9A图示了在版图文件中表示的相应集成电路版图的部分。图6B、图7B、图8B和图9B分别图示了在版图文件或者在光刻投影式掩膜组中表示的、在根据本发明的一些方面修改之后与图6A、7A、图8A和图9A对应的集成电路版图的部分。图6C、图7C、图8C和图9C分别图示了使用图6B、图7B、图8B和图9B的版图部分制造的集成电路器件的部分。图8D是在图8A和图8B中布图的电路的电路符号。图8E是在图8A和图8B中布图的电路的晶体管级电路图。图10A、图IlA和图12A图示了在版图文件或者光刻投影式掩膜组中表示的、在根据本发明的一些方面修改之后与图6A对应的集成电路版图的部分。图10B、图IlB和图12B分别图示了使用图10A、图IlA和图12A的版图部分制造的集成电路器件的部分。图13是图4中用于对版图进行修订以改善Ioff电流的步骤的流程图细节。图14是可以用来实现并入本发明的一些方面的软件的计算机系统的简化方框图。
具体实施例方式给出以下描述是为了使本领域技术人员能够实现和利用本发明,并且该描述是在特定的应用及其要求的上下文中提供的。本领域技术人员将容易清楚对公开的实施例的各种修改,并且本文限定的一般原理可以适用于其它实施例和应用而不脱离本发明的精神实质和范围。因此,本意并非使本发明限于所示实施例,而是将本发明赋予与本文公开的原理和特征一致的最广范围。^JL为了最好地描述本发明的实施例,将参考对图IA中示出的示例性版图部分。图IA 图示了版图区域的平面图,并且图IB图示了在图IA中示出的直线A-A’处截取的、从图IA 的版图区域获得的集成电路的一部分的截面图。在本文中图IA和图IB有时统称为图1。 如先前指出的,图1的版图区域包括三个晶体管T112、T114和Τ116。参照晶体管112作为示例,其包括如附图所示在栅极导体112左边的第一扩散区域140,以及如附图所示在栅极导体112右边的第二扩散区域142。扩散区域140和扩散区域142之一为晶体管Τ112的源极,并且另一个为漏极,但是直到晶体管Τ112通过集成电路上的互连(未示出)连接到电路才做出源极/漏极选择。在栅极导体112之下的是晶体管Τ112的沟道144。类似地,晶体管Τ114包括通过栅极导体114之下的沟道148隔开的两个扩散区域142和146,并且晶体管Τ116包括通过栅极导体116之下的沟道152隔开的两个扩散区域146和150。可以看出,晶体管Τ112和Τ114共享共同的扩散区域142。类似地,晶体管Τ114和Τ116共享共同的扩散区域146。在扩散版图形状120纵向相对的末端形成了相应的STI (浅沟槽隔离)区域IM 和156,这些区域包含氧化物,以将晶体管与其他附近电路元件隔离。STI区域IM和STI 区域156为侧向地包围整个扩散110的STI的表示。在其他一些实施例中,扩散110外部的区域可以是除了氧化物以外的另一电介质材料。如在此所使用的,术语“区域”表示版图的平面图中的二维区域。如在此所使用的, 该术语不必表明物理边界。也就是说,一个“区域”可以包含多个“子区域”,在此认为子区域它们本身也是“区域”。因此,即使其没有以任何方式被物理地限定子区域,但是将其称为扩散区域内的一个区域也是合理的。例如,图IA中的电流路径扩散“区域” 140、142、146和 150本身为扩散区域110的“子区域”。如果晶体管Tl 12、Τ114和Tl 16为N沟道晶体管,则所有扩散区域140、142、146和 150都具有η型掺杂,典型地为η+。在这些扩散区域之下的空间(包括栅极区域)具有ρ 型掺杂。另一方面,如果晶体管Τ112、Τ114和Τ116为P沟道晶体管,则所有扩散区域140、 142、146和150都具有ρ型掺杂,典型地为ρ+。在这些扩散区域之下的空间(包括栅极区域)具有η型掺杂。如在此所使用的,‘P’和‘η’为掺杂“类型”。如在此所使用的,ρ+掺杂和η+掺杂仍然分别称为具有‘P’和‘η’掺杂“类型”,只是它们具有较大的掺杂浓度。此处,两种掺杂类型‘P’和‘η’被视为“相反”的掺杂类型。在现代集成电路制造工艺中,使用自对准技术来形成晶体管的各种组件。在这种技术中,首先对在晶体管下面的空间(包括沟道区域)进行掺杂。这可以通过对整个晶片进行掺杂(诸如通过提供P型开始衬底),或者通过诸如期望掺杂类型的阱(诸如通过在将包含P沟道晶体管的P型衬底的部分注入η阱)。在第二步骤中,使用具有诸如形状Iio 的扩散投影式掩膜来限定有源区域。刻蚀在这些形状外的区域并且用STI氧化物填充进行填充,然后针对这些形状内的区域将包含的晶体管沟道的需要来掺杂这些区域。接下来,在晶片的表面上形成栅极导体(以及栅极堆叠的其他部分)。使用一个或者多个光刻投影式掩膜来使具有形状的晶片曝光来限定栅极导体。接下来,向离子注入曝光扩散区域,以对扩散形状的源极子区域和漏极子区域进行掺杂。由于离子并不会注入经过先前形成的栅极堆叠,所以栅极堆叠之下的区域并不接收注入的离子。这些区域因此变成与图IB中示出的栅极导体自对准的沟道区域。由于工艺步骤的这一序列,所以并未创建具有与各个扩散子区域140、142、146和150对应的形状的投影式掩膜。相反,用于形成这些区域的投影式掩膜具有单个形状110,此处称为“扩散形状”,该形状包括扩散区域140、142、146和150与沟道区域144、148和152的几何联合。在本文中,晶体管的源极和漏极被视为晶体管的“电流路径端子”,并且栅极被视为晶体管的“控制端子”。如此处所使用的,晶体管的源极端子被定义为当晶体管导通时电荷载流子从此处发源的电流路径端子,并且漏极被定义为电荷载流子流入的电流路径端子。对于P沟道晶体管而言,电荷载流子为空穴(带正电的载流子)。因此,P沟道晶体管的源极是当晶体管导通时处于较高电压的电流路径端子,并且漏极处于较低电压。对于η 沟道晶体管而言,电荷载流子为电子(带负电的载流子)。因此,当晶体管导通时,η沟道晶体管的漏极处于较高电压,并且源极处于较低电压。由于晶体管的源极和漏极两者都实现为相同掺杂类型的扩散,所以可能有必要参考互连层和已经实现的电路,以确定哪个电流路径端子是源极以及哪个是漏极。反之,可以通过针对期望的选择应用的合适的互连来定义哪个电流路径端子是源极以及哪个是漏极。再次参照图1,如此处所使用的,晶体管的“纵向”方向是晶体管导通时、从一个电流路径端子到另一个电流路径端子的电流流动的方向。“横向”方向垂直于纵向方向,并且垂直于电流流动的方向。晶体管的纵向方向和横向方向都被视为“侧向”方向,这意味着平行于表面的方向。其它“侧向”方向包括那些(未示出)平行于表面但是与纵向方向和横向方向都成角度地相交的方向。“垂直”方向垂直于沟道表面,并且由此垂直于所有可能的侧向方向。版图中的结构“长度”是其纵向方向上的长度,而它的“宽度”是其横向方向上的宽度。此处区域的“长度”和“宽度”两者都视为在区域的顶表面上测量。由晶体管Τ112、 Τ114和Τ116的版图可以看出,它们的沟道长度显著小于沟道宽度,这对于逻辑电路中使用的晶体管是典型的。在图1还示出了 Χ、Υ和Z坐标轴。在图1的版图中,X方向与纵向方向相同,Y方向与横向方向相同,并且Z方向与纵向方向和横向方向都垂直,其表示进入集成电路芯片的深度。非矩形有源层形状的后果使沟道形状与那些具有圆化内拐角近似的晶体管的计算机模拟可以用来研究对非矩形沟道区域的晶体管性能参数的后果。选择了从较宽扩散区域转变到较窄扩散区域的扩散形状,诸如图2中每个晶体管。已经发现,依赖于哪个扩散区域作为源极连接并且哪个扩散区域作为漏极连接,Vtsat(饱和阈值电压,其在高的漏极偏压下测量)呈现显著的不同。具体地,如果较窄区域为漏极,则Vtsat较高,而如果较宽区域为漏极,则Vtsat较低。 对于Vtlin(线性晶体管阈值电压,其在低的漏极偏压下测量)没发现这个不对称性,Vtlin 看似不依赖于源极/漏极选择。Vtsat阈值行为中的不对称性转化为电流响应中的对应不对称性。较窄区域被指派为漏极的晶体管的Ion电流(在高的漏极偏压和栅极偏压下的漏极电流)仅稍微高于较宽区域被指派为漏极的晶体管,但是Ioff电流(在高的漏极偏压和0栅极偏压下的漏极电流)的差别非常突出。依赖于诸如在栅极下面的圆化拐角的分数之类的因子,较窄区域被指派为漏极并且圆化拐角延伸进入沟道的晶体管的Ioff可以低至具有矩形沟道形状的晶体管的Ioff的1/3。对于具有圆化拐角从被指派为较宽区域的漏极延伸进入到沟道的晶体管的Ioff而言,其可以低至1/9。较低的Ioff电流表明较低的泄漏电流,并且因此表明针对低功率电路的更好功率节省。其版图未考虑在栅极之下的拐角圆化的集成电路很可能以看似随机的模式经历从增强到退化的晶体管性能波动。预期随着针对每个技术节点标称晶体管宽度的缩小,该问题将持续恶化。另一方面,对该效应的理解和监测将消除强烈并且明显的随机性能波动的该源。显而易见,通过在源极/漏极指派的选择中包括拐角圆化效应,可以有利地使用该效应。例如,通过系统地选择较宽扩散区域作为源极,可以极大地改善晶体管泄漏电流。此外,可以有意地引入延伸到栅极之下的圆化拐角以利用这些效应。集成电路设计流稈图3示出了并入了本发明的一些方面的示例性数字集成电路设计流程的简化表示。在高层级,该过程开始于产品构思(步骤300),并且在EDA(电子设计自动化)软件设计过程(步骤310)中实现。当设计定稿时,可以将该设计进行流片(tap-out)(步骤340)。 在流片之后,出现制作工艺(步骤350)以及封装和组装工艺(步骤360),从而最后产生成品集成电路芯片(结果370)。EDA软件设计过程(步骤310)实际上包括多个步骤312-330,在此为简便起见以线性方式示出。在实际的集成电路设计过程中,特定的设计可能需要经过步骤返回,直到通过某些测试为止。类似地,在任何实际设计过程中,这些步骤可以按照不同顺序和组合出现。因此。该描述仅是通过特定集成电路设计的上下文和一般说明而不是作为具体或者推荐的设计流程的方式提供。现在将提供EDA软件设计过程(步骤310)的组成步骤的简要描述。系统设计(步骤312)设计者描述他们想要实现的功能,他们也可以进行如果-怎么样(what-if)规划以细化功能、检验成本等。硬件-软件架构划分可以出现在这一阶段。可以在这一步骤使用的来自Synopsys公司的示例性EDA软件产品包括Model Architect、Saber、System Studio 禾口 Design Ware ⑧产品。逻辑设计和功能验证(步骤314)在这一阶段,编写用于系统中的模块的VHDL或者Verilog代码,并且检验该设计的功能准确度。具体而言,检验该设计以保证响应于特定输入激励产生正确的输出。可以在这一步骤使用的来自Synopsys公司的示例性EDA软件产品包括 VCS、VERA、DesignWare 、Magellan、Formality、ESP 和 LEDA 产品。综合和测试设计(步骤316)此处,将VHDL/Verilog转译成网表。可以针对目标技术优化网表。此外,出现允许检验成品芯片的测试的设计和实施。可以在这一步骤使用的来自 Synopsys 公司的示例性 EDA 软件产品包括 Design Compiler 、Physical Compiler, Test Compiler、Power Compiler、FPGA Compiler、TetraMAX 禾口 DesignWare 产品。网表验证(步骤318)在这一步骤中,检验网表与时序约束的相符性和与VHDL/ Verilog源码的对应性。可以在这一步骤使用的来自Synopsys公司的示例性EDA软件产品包括 Formality、PrimeTime 和 VCS 产品。设计规划(步骤320)这里,构造和分析用于芯片的整个平面图以便进行定时和顶层布线。可以在这一步骤使用的来自Synopsys公司的示例性EDA软件产品包括Astro 产品和IC Compiler产品。
物理实现(步骤32 在这一步骤出现布置(对电路元件的定位)和布线(对电路元件的连接)以及电路版图的其他方面。可以在这一步骤使用的来自Synopsys公司的示例性EDA软件产品包括Astro产品和IC Compiler产品。该步骤通常使用从Synopsys 公司获得的诸如Liverty的软件产品来针对特定制造工艺预先设计的库单元进行布置。此处,本发明的某些方面可以在库单元的设计期间出现。分析和提取(步骤324)在该步骤中,在晶体管级验证电路功能,这又允许如果-怎么样的细化。可以在这一步骤使用的来自Synopsys公司的示例性EDA软件产品包括 AstroRai 1、PrimeRai 1、PrimeTime 和 Star-RC/XT 产品。物理验证(步骤326)在这一步骤中,执行各种检验功能以确保制造、电气问题、 光刻问题和电路的正确性。可以在这一步骤使用的来自Synopsys公司的示例性EDA软件产品包括Hercules产品。流片(步骤327)该步骤(在某些设计流程可以将该步骤延迟直到步骤330)为产生用于光刻用途的投影式掩膜提供“流片”数据,该光刻用途用以产生成品芯片。在这个步骤中可以使用的来自Synopsys公司的示例性EDA软件产品包括CATS (R)系列产品。解析度增强(步骤328)这一步骤涉及到对版图的几何操作以提高设计的可制造性。可以在这一步骤使用的来自Synopsys公司的示例性EDA软件产品包括ftOteus、 I^oteusAF和PSMGen产品。本文中本发明的某些方面也可以在该步骤期间发生,或紧接该步骤发生。投影式掩膜数据准备(步骤330)如果在解析度增强(步骤328)之前未执行,则这一步骤为产生用于光刻用途的投影式掩膜提供“流片”数据,该光刻用途用以产生成品芯片。图4是图示了与本文讨论的一些实施例有关的设计流程的一些方面的流程图。与本文的所有流程图一样,将理解,在不影响所实现的功能的情况下,许多步骤可以组合执行、并行执行或者以不同的次序执行。在一些情况中,仅在也做出其他改变,步骤的重新布置才将会获得相同的结果,并且在其他一些情况中,只要满足某些条件,步骤的重新布置就将会获得相同的结果。参照图4,在步骤410中设计电路。步骤410大体上对应于图3的步骤300和步骤 312-步骤318。如此处所使用的,术语“电路设计”指代在从Verilog或者VHDL设计表示或者类似物编译之后,并且在布图之前的门级或者晶体管级设计。电路设计在步骤410之后表示在网表文件中。在步骤412中(大体上对应于图3的步骤320-步骤326),对电路设计进行布图。版图表示在几何文件中,该几何文件除其他以外,限定了将在待用于在制造期间使晶片曝光的每个投影式掩膜上形成的所有形状。几何文件可以具有若干标准格式中的任何格式,诸如GDSII、OASIS、CREF等,或者其可以具有非标准格式。该文件以针对将要生成的每个投影式掩膜的投影式掩膜定义的形式描述了电路设计的版图。每个投影式掩膜定义限定了多个多边形。在本实施例中的步骤412结束时,还未执行解析度增强(RET)。因此, 从步骤412产生的版图几何在某种意义上是理想化的,这是由于它们还未考虑到使用与版图中的几何尺寸相当或者大于版图中的几何尺寸的光波长的光刻印刷的瑕疵。例如,矩形为直角的,并且还未针对衍射效应进行预校正。在步骤414中(大体上对应于步骤328),通过多个步骤来修订版图,以更好地实现设计者意图。从理想化的版图形状识别设计者的意图(诸如从沟道宽度识别的预期驱动电流),并且进行修改以更好地在最终集成电路中实现该意图。光学邻近校正在该步骤中出现,此后描述的形状设计凸块或者突起的添加也在该步骤中发生。通常使用以上几何文件格式之一来再次将经修订的版图表示在几何文件中。在步骤416中,基于来自步骤414的经修订的版图,创建光刻投影式掩膜组。 用于形成投影式掩膜的方法并不是本发明的重要方面,所以可以使用任何投影式掩膜形成技术,不论是当今已知的还是将来开发的。作为一个示例,可以使用在美国专利 No. 6,096,458、No. 6,057,063、No. 5,246,800、No. 5,472,814 以及 No. 5,702,847 中阐述的技术来印刷投影式掩膜,由于这些专利对投影式掩膜印刷金属的教导而将这些专利通过引用全部并入于此。在形成投影式掩膜组之后,在步骤418中,使用这些投影式掩膜来制造集成电路。 此外,用于从投影式掩膜组制造集成电路的特定方法并不是本发明的重要方面,所以可以使用任何制造工艺,不论是当今已知的还是未来将开发的。优选地,使用如以上描述的用于对源极扩散和漏极扩散进行掺杂的自对准技术。图5是图4中用于对电路进行布图的步骤的流程图细节。图5是高度演进的过程的简化,该过程的大多数细节对于理解本发明不重要,并且因此在附图中未示出。参照图5, 在步骤510中,为在输入网表中指定的各个电路器件选择库单元。库单元包括(除其他以外)该器件所需的版图几何,包括电流路径扩散区域和栅极堆叠的布置。例如,一个库单元可以包括图IA中的版图形状110、112、114和116,以及用于限定相反沟道类型的若干晶体管的版图形状。在步骤512中,根据电路设计将库单元布置在版图中,并且限定布线以将库单元在互连层中互连。在该步骤中考虑了许多因素,这些因素中的大多数因素对于本发明的理解不重要。然而,根据本发明的一个方面,所考虑的因素之一是如果晶体管的电流路径扩散中的一个比另一个宽,则优选的是较宽的一个被指派为晶体管的源极,而较窄的一个被指派为漏极。在布置和布线算法中考虑的其他一些因素可能推翻这一优选,但是至少在布图和布线优化努力中考虑并且包括了这一优选。一旦确定哪个电流路径扩散区域为源极并且哪个为漏极,则基于该选择,对将所选择的扩散连接到电路中的器件的其余扩散的互连层进行布图。替代地,如果版图形状为使得不同尺寸的两个或者多个晶体管共享电流路径扩散区域,并且互换源极和漏极以改善一个晶体管对另一个晶体管具有相反的影响,则在本发明的一个方面中,可以将扩散分裂为两个。然后可以单独优化每个扩散,尽管代价是芯片面积增加。布图步骤412是高度迭代的。因此,在步骤514中,针对所使用的芯片面积、时序、功耗和许多其他因素分析所布图的电路,并且在步骤516中,确定所布图的电路性能是否可接受。如果不可接受,则该过程返回到步骤512,以尝试电路器件的不同布置或者布线(包括从先前的迭代重新考虑源极/漏极选择和分裂扩散),或者如果必要则返回到步骤510以针对电路器件选择不同的库单元,或者如果必要,则该过程甚至可以返回到步骤 410(图4)以便以一些方式修改电路的设计。在步骤516确定了电路性能可接受之后,布图步骤412完成(步骤518)。图5中示出的步骤的一般序列也应用于对针对技术库的预先定义的单元的版图。
1对完全电路设计的布图和对库单元的布图之间的显著不同包括完全电路设计的基本构建块为库单元,而库单元的基本构建块大多数为单独的晶体管。图5A是用于对库单元进行布图的设计过程的流程图。再一次,图5A是高度演进的过程的简化,该过程的大多数细节对于本发明的理解不重要并且因此在附图中未示出。参照图5A,在步骤530中,为在输入网表中指定的各个电路器件选择基本特征。在很大程度上,基本特征为扩散区域、栅极导体和互连。在步骤532中,根据库单元电路设计,对基本特征进行布图并且在合适时对它们进行组合。与步骤512 —样,虽然在该步骤中考虑了许多因素,但是本发明的一个方面是考虑的因素之一是如果晶体管的电流路径扩散中的一个比另一个宽,则优选的是较宽的一个被指派为晶体管的源极,而较窄的一个被指派为漏极。 一旦确定哪个电流路径扩散区域为源极并且哪个为漏极,则基于该选择对将所选择的扩散连接到库单元中的器件的其余扩散的互连层进行布图。替代地,如果版图形状为使得不同尺寸的两个或者更多晶体管共享电流路径扩散区域,并且互换源极和漏极以改善一个晶体管对另一个晶体管具有相反的影响,则在本发明的一个方面中,可以将扩散分裂为两个。然后可以单独优化每一个,尽管代价是芯片面积增加。在步骤534中,针对所使用的芯片面积、时序、功耗和许多其他因素来分析所布图的电路,并且在步骤536中,确定所布图的电路性能是否可接受。如果不可接受,则该过程返回到步骤532,以尝试特征的不同布置或者布线(包括从先前的迭代重新考虑源极/漏极选择和分裂扩散),或者如果必要则返回到步骤530以选择不同的基本特征,或者如果必要,则该过程甚至可以返回到以一些方式修改库单元的设计。在步骤536确定了电路性能可接受之后,库单元的布图完成(步骤538)。晶体管沟道的形状设计如上所述,如果晶体管的较大扩散被指派为源极扩散,则具有延伸进入到晶体管的沟道区域的圆化拐角的扩散区域可以改善晶体管的Ioff性能。电路版图可以通过有意地变宽晶体管的与栅极接近的源极区域而利用该观测。提供改善的不是圆化拐角本身,而是在源极侧变宽的非矩形沟道。圆化拐角是获得这种沟道形状的一种方式,虽然圆化仅是二阶效应。一阶效应是倾斜,即源极侧比漏极侧宽。图6A是其中示出了一个晶体管610的电路版图的一部分的图示。晶体管610包括在栅极导体616的纵向相对侧上的第一电流路径扩散612和第二电流路径扩散614。扩散区域612被指派为漏极,并且扩散区域614被指派为源极,以及假定由于一些原因,电流路径端子的指派不可变。归因于在附图中未示出的原因,漏极扩散612比源极扩散614宽。 例如,另一晶体管(未示出)可能位于扩散区域612内,电路设计要求该晶体管具有比所示出的晶体管大的驱动强度。在图6A中示出的是扩散版图形状618,其为电流路径扩散612 和电流路径扩散614与栅极导体616之下的沟道区域的几何联合;以及栅极多晶硅版图形状620。这些版图形状在步骤412之后表示在版图文件中。这些版图形状也表示为(如果在步骤414中未改变)将会用来制造集成电路的投影式掩膜组上的形状。为了讨论清楚起见,此处扩散形状618的拐角622被称为“内”拐角,这是由于当从形状618内部观察时其角度大于180度。如这里所使用的,“外”拐角是当从版图形状内部观察时角度小于180度的拐角。如以上说明的,漏极扩散比源极扩散宽是有利的,这是由于延伸到栅极620之下的内部拐角622的任何圆化都将使性能尤其是Ioff退化。即使拐角622的圆化并不延伸到栅极620之下,Ioff性能仍然通过促使栅极之下的沟道扩散朝向源极扩散618变宽而得以改善。这可以以多种不同方式实现,并且图6B图示了实现以上的一种方式。与图6A—样,图6B也是在版图文件和(如果在步骤414中未进行其他操作)在将要用来制造集成电路的投影式掩膜组上表示的扩散版图形状的图示。就在步骤414中执行了其他操作的程度而言,为了图示的清楚起见,图6B中的形状图示了在排除了这样的操作之后在投影式掩膜组上的形状。可以看出,已向扩散版图形状618添加了“凸块”或者“突起” 624,该“凸块”或者“突起” 6M从扩散版图形状618的纵向边拟6横向地向外延伸,在所述栅极616的源极侧上纵向地延伸。突起6M具有两个内拐角6 和630,此处拐角6 指代“邻近”栅极导体616的拐角,并且此处拐角630指代“远离”栅极导体616的拐角。此处突起624的横向定向的、与栅极导体邻近的边有时也称为“凹陷(jog)”。突起624的邻近拐角6 布置成在版图上足够接近栅极616,从而使得在印刷到集成电路上期间,当拐角 628由于光学衍射效应而圆化时,圆化拐角将至少部分地延伸到栅极616之下。可以针对这个拐角关闭光学邻近校正和/或其他解析度增强机制,以便避免干扰预期的圆化,或者如果光学邻近校正和/或其他解析度增强机制增强圆化拐角在栅极之下的圆化或者在其他方面以可特征化的方式修正圆化拐角,则可以允许针对该拐角进行光学邻近校正和/或其他解析度增强机制。注意到,此处,在版图中的形状被视为彼此邻近或者远离,或者跨越彼此(如栅极 620 “跨越”扩散版图形状618),即使这些形状可能在不同的层上或者在投影式掩膜组的不同投影式掩膜上形成。这是在当层或者投影式掩膜叠加在彼此之上时平面图中的几何关系,其限定了此处所使用的“邻近”、“远离”或者“跨越”。附加地,此处叠置被视为仅是“邻近”的一个特殊情况,并且不与邻近进行区分。图6C图示了在使用包含在图6B中所示的版图形状的投影式掩膜组形成的集成电路器件上的源极、漏极和沟道区域的形状。可以看出,内拐角628已经圆化,并且圆化部分地延伸到栅极导体616之下。更具体地,在源极扩散在位置LO处与沟道扩散相交时,在纵向位置的沟道宽度为W0。在沟道区域内存在另一纵向位置Li,在此处,沟道宽度为W1。由于拐角拟8的圆化,Wl < WO。在图6B和图6C的实施例中,在纵向位置L2处(此处沟道区域与漏极扩散相交)的沟道宽度W2等于W1,这是由于拐角622的圆化并不延伸到栅极616 之下。然而,在另一实施例中,W2可以比Wl大。如此处所使用的,无意对布置在衬底主体本身中或者布置在覆盖层中的集成电路的物品进行区分。例如,集成电路的所有特征(包括阱、扩散、STI区域、栅极电介质、栅极导体、金属层和盖层材料)均同等地描述为“在衬底上”或者“在衬底中”,并且无意在以上两个词中进行区分。附加地,如此处所使用的,术语“在…上面”和“在…下面”并不排除在其间存在一个或者多个材料或者层。图7A是其中示出了一个晶体管710的电路版图的另一部分的图示。晶体管710包括在栅极导体716的纵向相对侧上的第一电流路径扩散712和第二电流路径扩散714。与在图6A中一样,扩散区域712被指派为漏极,并且扩散区域714被指派为源极,以及假定由于一些原因,电流路径端子的指派不可变。归因于在附图中未示出的原因,漏极扩散712比源极扩散714宽。在图7A中示出了扩散版图形状718,其为电流路径扩散712和电流路径扩散714与栅极导体716之下的沟道区域的几何联合;以及栅极多晶硅版图形状720。这些版图形状在步骤412之后表示在版图文件中。这些版图形状也表示为(排除在步骤414 中的其他改变)将会用来制造集成电路的投影式掩膜组上的形状。图7B也是在版图文件和(排除在步骤414中的任何其他操作)在将要用来制造集成电路的投影式掩膜组上表示的扩散版图形状的图示。图7B与图6B的不同之处在于, 其图示了在扩散版图形状的两个横向相对纵向边上添加了突起,而不是如图6B仅在一个边上。因此,可以看出,已向扩散版图形状718添加了突起724A,该突起724A向外朝向扩散版图形状718的一个纵向边726A横向地延伸,其在栅极716的源极侧上纵向地延伸。已向扩散版图形状718添加了突起724B,该突起724B横向地从扩散版图形状718的另一个纵向边726B向外延伸,其纵向地在栅极716的源极侧上延伸。此处将两个突起724A和724B称为布置在扩散版图形状718的“横向相对”的纵向边726A和726B上。突起724A的邻近内拐角为 21并且突起724B的邻近内拐角为728B。这两者都布置在足够接近栅极716的版图上,从而使得在印刷到集成电路上期间,当拐角728A和拐角728B由于光学衍射效应而圆化时,圆化拐角将至少部分地延伸到栅极716之下。图7C图示了在使用包含在图7B中所示的版图形状的投影式掩膜组形成的集成电路器件上的源极、漏极和沟道区域的形状。可以看出,内拐角728A和内拐角728B已经圆化, 并且圆化部分地延伸到栅极导体716之下。更具体地,当源极扩散在纵向位置LO处与沟道扩散相交时,在纵向位置的沟道宽度为W0。在沟道区域内存在另一纵向位置Li,在此处,沟道宽度为W1。由于拐角728A和拐角728B的圆化,所以W1<W0。在沟道与源极扩散相交处,沟道已经在沟道横向相对的两个边上变宽。与在图6B和图6C的实施例中一样,在图7B 和图7C的实施例中,在纵向位置L2处(此处沟道区域与漏极扩散相交)的沟道宽度W2等于W1,这是由于与在扩散版图形状718的漏极侧上的栅极导体716纵向地邻近的拐角722A 和拐角722B的圆化并不延伸到栅极716之下。源极变宽的突起还可以被添加到如原始布图的不比源极宽的漏极处。图8A是h 缓冲器的电路版图的一部分的图示,其电路符号在图8D中示出。该^缓冲器包括跨越P沟道扩散版图形状816和N沟道扩散版图形状818两者的三个栅极导体形状810、812和814, 以在P沟道扩散版图形状816中形成相应的晶体管T810P、T812P和T814P,并且在N沟道扩散版图形状818中形成相应的晶体管T810N、T812N和T814N。因此,在P沟道扩散版图形状816中,栅极导体形状限定了电流路径扩散区域822、拟4、拟6和828。在N沟道扩散版图形状818中,栅极导体形状限定了电流路径扩散区域832、834、836和838。示出了用于将扩散822和扩散832连接到一起、将扩散拟6和扩散836连接到一起、将扩散拟4和拟8连接到电源总线以及将扩散834和838连接到接地总线的第一层金属互连的版图形状。示出了用于将信号输入端子连接到栅极导体810、将扩散822和扩散832连接到栅极导体812和栅极导体814这两者以及将信号输出端子连接到扩散拟6和扩散836的第二层金属互连的版图形状。由于这些互连,扩散区域822作为晶体管T810P的漏极连接,并且扩散区域拟4 作为源极连接。这些互连在图8E中示出,而图8E是图8D的h缓冲器的晶体管级电路图。如图8A中进一步示出的,源极区域824作为晶体管T812P的源极区域共享,并且扩散区域拟6是晶体管T812P的漏极。漏极区域拟6作为晶体管T814P的漏极区域共享,并且扩散区域拟8为T814P的漏极。类似地,扩散区域832作为晶体管T810N的漏极连接,并且扩散区域834作为源极连接。源极区域834作为晶体管T812N的源极区域共享,并且扩散区域836作为晶体管T812N的漏极。漏极区域836作为晶体管T814N的漏极共享,并且扩散区域838为晶体管T814N的漏极。与图6A和图7A中不同,扩散版图形状816的两个横向相对的纵向边840A和840B并不凹陷,而是在纵向上笔直地沿着扩散版图形状816的整个长度。类似地,扩散版图形状818的两个横向相对的纵向边842A和842B并不凹陷,而是在纵向上笔直地沿着扩散版图形状818的整个长度。如与针对图6A和图7A的一样,图 8A中示出的在步骤412之后的版图形状表示在版图文件中。这些版图形状也表示为(如果在步骤414中未修改)在将要用来制造集成电路的投影式掩膜组上的形状。图8B是表示在版图文件和(排除了在步骤414中执行的任何其他操作)在将要用来制造集成电路的投影式掩膜组上的扩散版图形状的图示。与在图7B中一样,在源极扩散区域824、828、834和838中的每个的扩散版图形状的横向相对的两个纵向边上添加了突起。因此,已在源极区域拟4的横向相对的边上添加了突起824A和突起824B ;已在源极区域828的横向相对的边上添加了突起828A和突起828B ;已在源极区域834的横向相对的边上添加了突起834A和突起834B ;以及已在源极区域838的横向相对的边上添加了突起 838A和突起838B。所有晶体管的漏极扩散和沟道宽度与它们的原始宽度比已经变窄,其中原始宽度示出为虚线844(P-扩散)和虚线846(N-扩散)。与由于所添加的突起导致的源极区域的变宽一起,这具有的效果是与在图8A中的原始版图保持相同的平均沟道宽度。平均沟道宽度的保持对于某些设计工具可能是期望的,但是对于其他可能不是期望的。突起 824A和突起824B具有邻近栅极导体810的相应的内拐角848A和内拐角848B,并且还具有邻近栅极导体812的相应的内拐角850A和内拐角850B。突起828A和突起828B具有邻近栅极导体814的相应的内拐角852A和内拐角852B。类似地,突起834A和突起834B具有与栅极导体810邻近的相应的内拐角854A和内拐角8MB,并且还具有与栅极导体812邻近的相应的内拐角856A和内拐角856B。突起838A和突起838B具有与栅极导体814邻近的相应的内拐角 858A 和 858B。内拐角 848A、848B、850A、850B、852A、852B、854A、8MB、856A、 856B、858A和858B中的所有这些均在版图上布置为足够接近它们邻近的相应栅极,从而使得在印刷到集成电路上期间,当这些拐角由于光学衍射效应而圆化时,圆化拐角将至少部分延伸到相应的栅极导体810、812和814之下。图8C图示了在使用包含如在图8B中所示的版图形状的投影式掩膜组形成的集成电路器件上的源极、漏极和沟道扩散、以及多晶硅栅极和金属互连的形状。可以看出,所有内拐角已经圆化,并且圆化部分地延伸到它们相应的邻近栅极导体810、812或者814之下。 更具体地(并且关于晶体管T812N作为一个示例所示出地),当源极扩散834在纵向位置 LO处与沟道扩散相交时,在纵向位置的沟道宽度为W0。在沟道区域内在纵向上存在另一纵向位置Li,在此处,沟道宽度为W1。由于拐角856A和拐角856B的圆化,所以Wl <W0。在沟道与源极扩散相交处沟道已经有效地变宽,并且在沟道与漏极区域相交处处沟道已经有效地变窄。除了横向地延伸源极扩散区域的突起之外,在一些情况中,可能有理由同样地横向地延伸漏极扩散区域。例如,对于具有SiGe扩散区域的P沟道晶体管而言,较宽的电流路径扩散可以增加附近的有益应力,从而增强载流子迁移率。这对于具有Si:C扩散区域的 N沟道晶体管也是如此。无论是将突起添加到源极扩散还是漏极扩散,都能观测到这种改善,并且事实上,如果添加到两个扩散则这种改善最显著。图9A、图9B和图9C图示了利用该观测的晶体管版图。再一次,图9A图示了在版图文件中表示的在步骤412之后的原始版图形状。这些版图形状(排除在步骤414中的任何其他修改)也表示在将要用来制造集成电路的投影式掩膜组上的形状。示出了源极区域和漏极区域,以及用于限定沟道的栅极版图形状。图9B图示了在将横向延伸的突起添加到扩散版图形状的两个横向边并且在源极区域和漏极区域两者中之后的版图形状。再一次, 与栅极版图形状邻近的突起的内拐角被布置成足够接近栅极版图形状(或者在栅极版图形状之下),从而使得在印刷到集成电路期间,当邻近拐角由于光学衍射效应而圆化时,这些圆化拐角将至少部分地延伸到栅极导体之下。注意到,利用横向切入的切口(cut-out) 而不是两个横向延伸的突起也能实现相同的效果。图9C图示了在使用包含如在图9B中所示的版图形状的投影式掩膜组形成的集成电路器件上的源极、漏极和沟道扩散的形状。可以看出,所有四个邻近内拐角已经圆化,并且圆化部分地延伸到栅极导体之下。更具体地,当源极扩散在纵向位置LO处与沟道扩散相交时,在纵向位置的沟道宽度为W0。在沟道区域内、纵向上存在另一纵向位置Li,在此处, 沟道宽度为W1,并且当漏极扩散在纵向位置L2处与沟道扩散相交时,沟道宽度为W2。由于四个邻近内拐角的圆化,所以Wl <W0以及Wl <W2。在图9C的实施例中,进一步对于W2 =WO也成立,但是这在本发明的所有实施例中并不重要。在以上描述的大多数实施例中,已经引入的横向延伸的凹陷纵向地布置在栅极版图形状的源极侧上。作为凹陷的结果,与栅极版图形状邻近的内拐角在光刻投射到器件上期间圆化,并且从而部分延伸进入到沟道区域中。图IOA图示了可以基于图6A的原始版图获得非矩形沟道的另一方式。在图IOA中,与突起624(图6)类似地添加了突起1010,但是凹陷1012纵向地布置在栅极版图形状的漏极侧。作为凹陷的结果,凹陷的外拐角1014在光刻投射到器件期间圆化,并且从而部分延伸进入到沟道区域中。这在图IOB中示出。注意到,类似于凹陷1012的凹陷可以被视为由于所添加的突起1010或者由于横向切入的切口 1016所导致的;以上任何一种描述都是精确的。图IlA图示了可以基于图6A的原始版图获得非矩形沟道的另一方式。在图IlA 中,与突起624(图6)类似地添加了突起1110,但是凹陷1112纵向地布置在源极区域和漏极区域之间,在将要由栅极版图形状限定的沟道内。作为该凹陷的结果,凹陷的内拐角1114 和外拐角1116将至少部分地位于沟道区域内,即使在两个拐角的衍射圆化之后也是如此。 这在图IlB中示出。图12A图示了可以用来获得非矩形沟道的其他两种变形可以在漏极侧使用横向切入的切口而不是在源极侧上的突起,以及可以以台阶方式使用两个或者多于两个凹陷。 可独立使用任一变形,或者它们可以如在图12A中示出的一起使用。在图12A中,与突起 624(图6)类似地添加了突起1210,而凹陷1212纵向地布置在源极扩散区域中。凹陷1212 具有与栅极版图形状邻近的内拐角1214,其被布置成在纵向上足够接近栅极版图形状,从而使得当内拐角1214在光刻曝光期间由于衍射效应而圆化时,内拐角1214将部分地延伸进入沟道区域。这可以在图12B中看出。此外,已在扩散版图形状中形成切口 1216,而邻近凹陷1218纵向地布置在漏极扩散区域中。凹陷1218具有与在横向方向上与拐角1214邻近的外拐角1220,并且该外拐角被布置成在纵向上足够接近栅极版图形状,从而使得当外拐角1220在光刻曝光期间由于衍射效应而圆化时,该外拐角1220将部分地延伸进入沟道区域。这也可以在图12B中看出。将理解,在图10A、图IlA和图12A中所图示的所有变形同样适用于图7B、图8B和图9B。也存在许多其他变形,包括诸如在图12A中示出的其中凹陷1212和凹陷1218中的一个或者两个在栅极版图形状之下纵向地出现,以及包括三个或者更多凹陷的变形。其他变形包括其中凹陷还在扩散版图形状的相对纵向边上出现的那些变形。此外,如果版图软件和投影式掩膜制作工艺允许,则凹陷也可以对角线地形成,而不是直线地形成。用于在沟道中弓I入非矩形的设计流稈回到图4,将非矩形引入到晶体管沟道中的步骤(诸如在图6C、图7C和图8C中示出的)可以在步骤414(即,操纵版图以更好地实现设计者意图)期间执行。图13是步骤 414的流程图细节,具体地是用于对版图进行修订以改善toff电流。图13的步骤可以作为步骤512(图幻或者步骤532(图5A)的一部分执行。读者将会清楚如何修改附图13,以同样由于以上关于图9B描述的应力效应而改善载流子迁移率。参照图13,在步骤1310中, 系统开始经过所有扩散版图形状(针对引入延伸到晶体管栅极导体之下的圆化拐角)的循环。这些形状可以是在整个版图的特定部分中的所有扩散版图形状,或者可以通过一些其他特性而选择这些形状。在步骤1312中,内部循环开始经过被指定为源极扩散的、当前扩散版图形状中的所有扩散子区域。在步骤1314中,确定当前源极扩散是否已经比沟道宽。 如果是,则不需要附加的突起。相反,在步骤1316中,不过度地冒着稍微未对准的步进机将把凹陷本身印刷成与栅极导体叠置的风险的情况下,将在源极区域中的扩散版图形状中的并且邻近栅极导体的凹陷移动到尽可能接近栅极导体的纵向位置(如果还未在该处)。旨在使得凹陷的邻近内拐角在印刷到集成电路上期间圆化之后部分地延伸到栅极导体之下。另一方面,如果源极与沟道一样宽或者比沟道窄,则在步骤1316中,诸如在图6B、 图7B和图8B中示出的突起被纵向地添加到在纵向上在栅极导体与源极区域一样的相同侧上的扩散版图形状上。再一次,选择足够接近栅极导体的纵向位置,从而使得突起的邻近内拐角在印刷到集成电路上期间圆化之后至少部分地延伸到栅极导体之下,但是不会太接近以过度地冒着稍微未对准的步进机将把突起本身印刷成与栅极导体叠置的风险。将理解, 在一个实施例中,突起可以在横向方向上添加到源极区域的一个边上,而在不同的实施例中,突起可以在横向方向上添加到源极区域的两个相对边上。在步骤1316中的源极区域凹陷的任何移动之后,或者在步骤1318中添加了任何突起之后,在步骤1320中确定在当前扩散版图形状中是否存在更多的源极区域。如果存在,则系统回到步骤1312以考虑当前版图形状中的下一源极区域。如果不存在,则在步骤 1322中,系统确定是否存在需要考虑的更多扩散版图形状。如果存在,则系统返回到步骤 1310以考虑下一扩散版图形状。在已经考虑了所有期望的扩散版图形状之后,可以在步骤13M中添加对版图中各种形状的光学邻近校正。如以上提到的,可以针对在步骤1316或者1318中受到影响的或者考虑的拐角关闭光学邻近校正和/或其他解析度增强机制,以便避免干扰预期的圆化,或者如果光学邻近校正和/或其他解析度增强机制增强拐角在栅极之下的圆化程度或者在其他方面以可特征化的方式修正拐角,则可以允许针对这些拐角进行光学邻近校正和 /或其他解析度增强机制。最后,在步骤13 中,可以对版图执行任何其他解析度增强或者其他操纵。注意到,步骤13 可以部分或者全部在步骤13 或者甚至1310之前执行, 或者甚至在循环1310和循环1312的一个或者两个内执行,只要这些循环不会修改预期的圆化,或者确实修改圆化但是其是以可特征化的方式进行修改。优选地,光学邻近校正步骤 13 仅在步骤1322之后出现,但是通过适当考虑,在特定实施例中其也可以早些执行。操纵版图的步骤在步骤13 中完成。图14是可以用来实现并入本发明的一些方面的软件的计算机系统1410的简化方框图。计算机系统1410包括经由总线子系统1412与许多外围设备通信的处理器子系统 1414。这些外围设备可以包括存储子系统1424(包括存储器子系统14 以及文件存储子系统14 ),用户接口输入设备1422、用户接口输出设备1420以及网络接口子系统1416。 输入和输出设备允许用户与计算机系统1410交互。网络接口子系统1416提供到外部网络的接口(包括到通信网络1418的接口)并且经由通信网络1418耦合到其它计算机系统中的相应接口设备。通信网络1418可以包括多个互连的计算机系统和通信链路。这些通信链路可以是有线链路、光学链路、无线链路或者用于信息通信的任何其它机制。尽管在一个实施例中,通信网络1418是因特网,但是在其它实施例中,通信网络1418可以是任何适合的计算机网络。网络接口的物理硬件部件有时称为网络接口卡(NIC),虽然它们不需要为卡的形式例如,它们可以为直接适配到母板上的集成电路(IC)和连接器的形式,或者为与计算系统的其他部件一起的在单个集成电路芯片上制造的宏单元形式。用户接口输入设备1422可以包括键盘、定点设备(诸如鼠标、轨迹球、触摸板或者图形输入板)、扫描仪,并入到显示器内的触摸屏、音频输入设备(诸如语音识别系统、麦克风)及其它类型的输入设备。总之,术语“输入设备”的使用旨在包括用于将信息输入到计算机系统1410中或者到计算机网络1418上的所有可能类型的设备和方式。用户接口输出设备1420可以包括显示子系统、打印机、传真机或者诸如音频输出设备之类的非可视显示器。显示子系统可以包括阴极射线管(CRT)、诸如液晶显示器(LCD) 的平板设备、投影设备或者一些用于创建可视图像的其它机制。显示子系统还可以诸如通过音频输出设备提供非可视显示。一般而言,术语“输出设备”的使用旨在包括用于从计算机系统1410向用户或者其它机器或计算机系统输出信息的所有可能类型的设备和方式。存储子系统14M存储提供本发明的某些实施例的功能的基本编程和数据结构。 例如,实现发明某些实施例的功能的各种模块可以存储在存储子系统14M中。这些软件模块通常由处理器1414执行。存储器子系统1似6典型地包括多个存储器,这些存储器包括用于在程序执行期间存储指令和数据的主随机访问存储器(RAM) 1430和在其中存储固定指令的只读存储器 (ROM) 1432。文件存储子系统14 为程序和数据文件提供持久存储,其并且可以包括硬盘驱动器、与可移除介质相关的软盘驱动器、CD-ROM驱动器、光盘驱动器或者其它可移除介质盒式磁带。实现本发明某些实施例的功能的数据库和模块可由文件存储子系统14 存储。 主机存储器1似6包含(除其他以外)当由处理器子系统1414执行时促使计算机系统操作或者执行本文描述的功能的计算机指令。如这里所使用的,称为在“主机”或者“计算机”中或者“主机”或者“计算机”上运行的过程和软件响应于主机存储器子系统1似6 (包括用于存储这种指令和数据的任何其他本地或者远程存储)中的计算机指令和数据而在处理器子系统1414上执行。总线子系统1412提供用于使计算机系统1410的各种组件和子系统如所期望地彼此通信的机制。尽管总线子系统1412被示意性地示出为单个总线,但是总线子系统的备选实施例可以使用多个总线。计算机系统1410本身可以是各种类型的,其包括个人计算机、便携式计算机、工作站、计算机终端、网络计算机、电视、大型机或者任何其它数据处理系统或用户设备。由于计算机和网络经常改变的性质,图14中描绘的计算机系统1410的描述仅意味着作为为了图示本发明某些实施例的特定例子。计算机系统1410的许多其它配置可能与图14中描述的计算机系统相比具有更多或更少的部件。在图5和图13中阐述的步骤通过具有处理器(诸如处理器子系统1414)和存储器(诸如存储子系统1424)的计算机系统,在包括指令的软件的控制下执行,这些指令可由处理器子系统1414执行,从而执行所示出的步骤。该软件还包括处理器对其操作的数据。 该软件存储在计算机可读存储介质上,如在此所使用的,该计算机可读介质是在其上存储信息并且这些信息可以由计算机系统读取的介质。示例包括但不限于软盘、硬盘驱动、RAM、 CD、DVD、闪存、USB驱动等。计算机可读介质可以存储以编码格式的信息,在特定数据处理系统中对这些编码格式进行解码以供实际使用。如这里所使用的,单个计算机可读介质也可以包括多于一个的物理项,诸如多个CD-ROM或者RAM的多个段或者若干不同种介质的组合。当存储软件的计算机可读介质与图14的计算机系统组合时,该组合为执行本文阐述的步骤的机器。用于执行每个步骤的装置包括计算机系统(或者仅执行该步骤所需的计算机系统的部分)与用于执行该步骤的软件模块的组合。存储软件的计算机可读介质也能够与计算机系统单独分布,并且形成其自身的制品。另外,在步骤412和步骤414两者之后,网表文件或者包含电路设计的表示的文件,以及几何文件或者存储版图的文件这些它们本身存储在计算机可读介质上。这样的介质可以与计算机系统单独分布,并且形成它们自身相应的制品。当与使用软件编程的计算机系统相结合以供对网表或者几何文件进行读取、修订和写入时,它们形成执行这里阐述的步骤的又一机器。出于示例和描述的目的已经提供了对本发明实施例的前文描述。本意并非让它们穷举本发明或者使本发明限于所公开的形式。很明显,本领域技术人员将清楚许多修改和变化。例如,虽然一种用于形成延伸到栅极之下的圆化拐角的方法涉及在图6B、 7B、8B和9B所示的版图形状添加突起,但是将理解,也可以使用其他方法。具体地,例如,可以使用反向光刻技术作为替代。反向光刻在以下文章中描述可从http://WWW. solid-state, com/display_article/311162/28/ARTCL/none/none/1/Double-exposure-i nverse-1 ithography 获得白勺 Milanfar> Borodovsky 禾口 Pooriawala 白勺"Double exposure inverse lithography " (11/2007),以及可从 http: / / www. semiconductor, net/article/ CA6434690. html 获得的 AaronHand 的"Inverse Lithography Makes New Inroads in RET" (5/1/2007),这两篇文章都通过引用并入于此。此外,向版图形状添加的突起不必为如图6B、图7B、图8B以及图9B中所示的矩形。此外,在不限制的情况下,所描述的、暗示的或者通过引用并入到本专利申请背景技术部分中的任意和所有变形都通过引用具体地并入于此,以帮助表达本发明的实施例可以借此实现的技术的广度。本文所描述的实施例是为了最好地说明本发明的原理及其实际应用,从而使得本领域其他技术人员能够针对各种实施例和具有适于所考虑的特定应用的修改而理解本发明。本意是本发明的范围由下文权利要求及它们的等价物限定。
权利要求
1.一种光刻投影式掩膜组,其包括供在形成集成电路中使用的一个或者多个投影式掩膜,所述投影式掩膜组具有用于限定晶体管的沟道区域、叠置在所述沟道区域上的栅极导体以及在所述沟道区域的纵向相对侧上的第一扩散区域和第二扩散区域的形状,所述第一扩散区域和第二扩散区域为第一掺杂类型,并且所述沟道区域为与所述第一掺杂类型相反的第二掺杂类型,所述第一扩散区域和第二扩散区域在电路中分别连接为所述晶体管的第一电流路径端子和第二电流路径端子,所述投影式掩膜组包括用于限定所述沟道区域与所述第一扩散区域和所述第二扩散区域的几何联合的第一形状,以及用于限定所述栅极导体的第二形状,所述第二形状横向地跨越所述第一形状,所述投影式掩膜组用于以下工艺中,在所述工艺中,在使用所述第二形状将所述栅极导体施加到所述集成电路之前对所述沟道区域进行掺杂,并且在施加了所述栅极导体之后对所述第一扩散区域和所述第二扩散区域进行掺杂,其中所述第一形状具有沿着所述第一扩散区域的长度的、横向相对的第一纵向边和第二纵向边,并且其中所述第一形状包括在所述第一形状的所述第一纵向边上的第一横向延伸的凹陷,所述第一凹陷具有内拐角和外拐角,所述第一凹陷在纵向上相对于所述第二形状定位,以使得在将所述第一形状光刻印刷到所述集成电路上期间,所述内拐角和所述外拐角中的至少一个将圆化并且至少部分地延伸到所述沟道区域内。
2.根据权利要求1所述的光刻投影式掩膜组,其中,所述第一形状还包括在所述第一形状的所述第一边上横向延伸的第二凹陷,其在纵向上在所述第二形状的、与所述第二扩散区域相同的边上,所述第二凹陷具有与所述第二形状邻近的内拐角,所述第二凹陷在纵向上足够接近所述第二形状定位,从而使得在将所述第一形状光刻印刷到所述集成电路上期间,所述第二凹陷的所述内拐角将圆化以便至少部分地位于所述栅极导体的下面。
3.根据权利要求1所述的光刻投影式掩膜组,其中,所述投影式掩膜组还将所述第一扩散区域作为所述晶体管的源极而连接。
4.根据权利要求3所述的光刻投影式掩膜组,其中,所述第一形状包括无横向延伸的第二凹陷,所述第二凹陷在纵向上在所述第二形状的、与所述第二扩散区域相同的边上,其中所述第二凹陷在纵向上足够接近所述第二形状定位,从而使得在光刻印刷期间,所述第二凹陷的所述内拐角将圆化并且至少部分地位于所述栅极导体的下面。
5.根据权利要求1-4中任一项所述的光刻投影式掩膜组,其中,所述第一形状还包括在所述第一形状的所述第二纵向边上的第二横向延伸的凹陷,所述第二凹陷具有内拐角和外拐角,所述第二凹陷在纵向上相对于所述第二形状定位成使得在将所述第一形状光刻印刷到所述集成电路上期间,所述第二凹陷的所述内拐角和所述外拐角中的至少一个将圆化并且至少部分地延伸到所述沟道区域内。
6.根据权利要求1-5中任一项所述的光刻投影式掩膜组,其中,所述第一凹陷在纵向上定位在所述第二形状的、与所述第一扩散区域相同的边上,并且所述第一凹陷在纵向上相对于所述第二形状定位成使得在将所述第一形状光刻印刷到所述集成电路上期间,所述第一凹陷的所述内拐角将圆化并且至少部分地延伸到所述沟道区域中。
7.根据权利要求1-5中任一项所述的光刻投影式掩膜组,其中,所述第一凹陷在纵向上定位于所述第二形状的、与所述第二扩散区域相同的边上,并且所述第一凹陷在纵向上相对于所述第二形状定位成使得在将所述第一形状光刻印刷到所述集成电路上期间,所述第一凹陷的所述外拐角将圆化并且至少部分地延伸到所述沟道区域中。
8.根据权利要求1-5中任一项所述的光刻投影式掩膜组,其中,所述第一凹陷在纵向上定位于与所述第二形状叠置的纵向位置处,从而使得在将所述第一形状光刻印刷到所述集成电路上期间,所述第一凹陷的所述内拐角和所述外拐角两者都将圆化并且至少部分布置在所述沟道区域内。
9.根据权利要求1所述的光刻投影式掩膜组,其中,所述第一凹陷在纵向上相对于所述第二形状定位成使得在将所述第一形状光刻印刷到所述集成电路上期间,所述第一凹陷的所述外拐角将圆化并且至少部分地延伸到所述沟道区域内,并且其中所述第一形状还包括在所述第一形状的所述第一纵向边上的横向延伸的第二凹陷,所述第二凹陷具有内拐角和外拐角,所述第二凹陷相对于所述第一凹陷定位成使得所述第二凹陷的所述内拐角在横向上与所述第一凹陷的所述外拐角邻近,所述第二凹陷在纵向上相对于所述第二形状定位成使得在将所述第一形状光刻印刷到所述集成电路上期间,所述第二凹陷的所述内拐角将圆化并且至少部分地延伸到所述沟道区域内。
10.一种光刻投影式掩膜组,其包括供在形成集成电路中使用的一个或者多个投影式掩膜,所述投影式掩膜组具有用于限定以下项的形状第一晶体管和第二晶体管的相应第一沟道区域和第二沟道区域、分别在所述第一沟道区域和第二沟道区域上叠置的第一栅极导体和第二栅极导体、在所述第一沟道区域的纵向相对侧上的第一扩散子区域和第二子扩散区域以及在纵向上在所述第二沟道区域的、与所述第二扩散子区域相对的边上的第三扩散子区域,所述第一扩散子区域、第二扩散子区域和第三扩散子区域为第一掺杂类型,并且所述第一沟道区域和第二沟道区域为与所述第一掺杂类型相反的第二掺杂类型,所述第一扩散区域和第二扩散区域在电路中分别连接为所述第一晶体管的第一电流路径端子和第二电流路径端子,并且所述第二扩散区域和第三扩散区域在电路中分别连接为所述第二晶体管的第一电流路径端子和第二电流路径端子,所述投影式掩膜组包括用于限定所述第一沟道区域和所述第二沟道区域与所述第一扩散子区域、所述第二扩散子区域和所述第三扩散子区域的几何联合的第一形状,用于限定所述第一栅极导体的第二形状,以及用于限定所述第二栅极导体的第三形状,所述第二形状和第三形状都横向地跨越所述第一形状,所述投影式掩膜组在以下工艺中使用,在所述工艺中,在使用所述第二形状和第三形状将所述第一栅极导体和第二栅极导体施加到所述集成电路之前对所述第一沟道区域和第二沟道区域进行掺杂,并且在施加了所述第一栅极导体和所述第二栅极导体之后对所述第一扩散子区域、第二扩散子区域和第三扩散子区域进行掺杂,其中所述第一形状具有沿着所述第二扩散区域的长度的、横向相对的第一边和第二边,并且其中所述第一形状包括在所述第一形状的所述第一边上的横向延伸的凹陷,所述凹陷具有与所述第二形状邻近的第一内拐角和与所述第三形状邻近的第二内拐角,所述凹陷的所述第一内拐角在纵向上相对于所述第二形状定位成使得在将所述第一形状光刻印刷到所述集成电路上期间,所述第一内拐角将圆化并且至少部分地延伸到所述第一沟道区域内,并且所述凹陷的所述第二内拐角在纵向上相对于所述第三形状定位成使得在将所述第一形状光刻印刷到所述集成电路上期间,所述第二内拐角将圆化并且至少部分地延伸到所述第二沟道区域内。
11.根据权利要求10所述的光刻投影式掩膜组,其中,所述第一形状还包括在所述第一形状的所述第一边上并且在所述第一扩散子区域内横向延伸的第二凹陷,所述第二凹陷具有与所述第二形状邻近的内拐角,其中所述第一形状还包括在所述第一形状的所述第一边上并且在所述第三扩散子区域内横向延伸的第三凹陷,所述第三凹陷具有与所述第三形状邻近的内拐角,所述第二凹陷的所述内拐角在纵向上定位成足够接近所述第二形状,从而使得在将所述第一形状光刻印刷到所述集成电路上期间,所述第二凹陷的所述内拐角将圆化以便至少部分地延伸到所述第一沟道区域中,并且所述第三凹陷的所述内拐角在纵向上定位成足够接近所述第三形状,从而使得在将所述第一形状光刻印刷到所述集成电路上期间,所述第三凹陷的所述内拐角将圆化以便至少部分地延伸到所述第二沟道区域中。
12.根据权利要求10所述的光刻投影式掩膜组,其中,所述第一形状包括在所述第一扩散子区域和所述第三扩散子区域之内的无横向延伸的第二凹陷,所述第二凹陷被定位成在纵向上接近所述第二形状和第三形状之一,从而使得在光刻印刷期间,所述第二凹陷的内拐角将圆化以便至少部分地延伸到所述沟道区域之一中。
13.根据权利要求12所述的光刻投影式掩膜组,其中,所述投影式掩膜组还包括将所述第二扩散子区域作为所述第一晶体管和所述第二晶体管两者的共享源极而连接的形状。
14.根据权利要求10-13中任一项所述的光刻投影式掩膜组,其中,所述第一形状还包括在所述第一形状的所述第二边上的又一横向延伸的凹陷,所述又一凹陷具有与所述第二形状邻近的第三内拐角和与所述第三形状邻近的第四内拐角,所述第三内拐角在纵向上相对于所述第二形状定位成使得在将所述第一形状光刻印刷到所述集成电路上期间,所述第三内拐角将圆化并且至少部分地延伸到所述第一沟道区域中,并且所述第四内拐角在纵向上相对于所述第三形状定位成使得在将所述第一形状光刻印刷到所述集成电路上期间,所述第四内拐角将圆化并且至少部分地延伸到所述第二沟道区域中。
15.—种制品,其包括计算机可读存储介质,所述计算机可读存储介质在其上存储有光刻投影式掩膜组形状的计算机可读限定,其用于限定将使用所述投影式掩膜组在集成电路上形成的特征,其中所述特征包括晶体管的沟道区域、在所述沟道区域上叠置的栅极导体以及在所述沟道区域的纵向相对侧上的第一扩散区域和第二扩散区域,所述第一扩散区域和所述第二扩散区域为第一掺杂类型,并且所述沟道区域为与所述第一掺杂类型相反的第二掺杂类型,所述第一扩散区域和第二扩散区域在电路中分别连接为所述晶体管的第一电流路径端子和第二电流路径端子,所述形状包括用于限定所述沟道区域与所述第一扩散区域和所述第二扩散区域的几何联合的第一形状,以及用于限定所述栅极导体的第二形状,所述第二形状横向地跨越所述第一形状,所述形状在以下工艺中使用,在所述工艺中,在使用所述第二形状将所述栅极导体施加到所述集成电路之前对所述沟道区域进行掺杂,并且在施加了所述栅极导体之后对所述第一扩散区域和所述第二扩散区域进行掺杂,其中所述第一形状具有沿着所述第一扩散区域的长度的、横向相对的第一边和第二边,并且其中所述第一形状包括在所述第一形状的所述第一纵向边上的横向延伸的第一凹陷,所述第一凹陷具有内拐角和外拐角,所述第一凹陷在纵向上相对于所述第二形状定位成使得在将所述第一形状光刻印刷到所述集成电路上期间,所述内拐角和所述外拐角中的至少一个将圆化并且至少部分地延伸到所述沟道区域内。
16.一种制品,其包括计算机可读存储介质,所述计算机可读存储介质在其上存储有光刻投影式掩膜组的形状的计算机可读限定,其用于限定将使用所述投影式掩膜组在集成电路上形成的特征,其中所述特征包括第一晶体管和第二晶体管的相应第一沟道区域和第二沟道区域、分别在所述第一沟道区域和第二沟道区域上叠置的第一栅极导体和第二栅极导体、在所述第一沟道区域的纵向相对侧上的第一扩散子区域和第二子扩散区域以及在所述第二沟道区域的、在纵向上与所述第二扩散子区域相对的边上的第三扩散子区域,所述第一扩散子区域、所述第二扩散子区域和所述第三扩散子区域为第一掺杂类型,并且所述第一沟道区域和所述第二沟道区域为与所述第一掺杂类型相反的第二掺杂类型,所述第一扩散子区域和第二扩散子区域在电路中分别连接为所述第一晶体管的第一电流路径端子和第二电流路径端子,并且所述述第二扩散子区域和所述第三扩散子区域在电路中分别连接为所述第二晶体管的第一电流路径端子和第二电流路径端子,所述形状包括用于限定所述第一沟道区域和所述第二沟道区域与所述第一扩散子区域、所述第二扩散子区域和所述第三扩散子区域的几何联合的第一形状,用于限定所述第一栅极导体的第二形状,以及用于限定所述第二栅极导体的第三形状,所述第二形状和第三形状横向地跨越所述第一形状,所述形状在以下工艺中使用,在所述工艺中,在使用所述第二形状和第三形状将所述第一栅极导体和所述第二栅极导体施加到所述集成电路之前对所述第一沟道区域和所述第二沟道区域进行掺杂,并且在施加了所述第一栅极导体和所述第二栅极导体之后对所述第一扩散子区域、所述第二扩散子区域和所述第三扩散子区域进行掺杂,其中所述第一形状具有沿着所述第二扩散子区域的长度的、横向相对的第一边和第二边,并且其中所述第一形状包括在所述第一形状的所述第一边上横向延伸的凹陷,所述凹陷具有与所述第二形状邻近的第一内拐角和与所述第三形状邻近的第二内拐角,所述凹陷的所述第一内拐角相对于所述第二形状在纵向上定位成使得在将所述第一形状光刻印刷到所述集成电路上期间,所述第一内拐角将圆化并且至少部分地延伸到所述第一沟道区域内,并且所述凹陷的所述第二内拐角相对于所述第三形状在纵向上定位成使得在将所述第一形状光刻印刷到所述集成电路上期间,所述第二内拐角将圆化并且至少部分地延伸到所述第二沟道区域内。
17.一种用于修订电路设计的版图的方法,所述方法用于在形成光刻投影式掩膜组的过程中使用,所述光刻投影式掩膜组用于在制造集成电路中使用,所述方法由具有处理器和存储器的计算机系统使用,所述方法包括以下步骤所述计算机系统在版图中标识具有用于在所述集成电路上限定源极扩散区域和漏极扩散区域以及叠置在沟道区域上的栅极导体的形状的对象晶体管,对于所述对象晶体管而言,在所述源极扩散区域和所述漏极扩散区域分别与所述沟道区域相交处,所述源极扩散区域不比所述漏极扩散区域宽,所述版图包括用于限定所述对象晶体管的至少所述源极扩散区域的第一形状,和用于限定所述晶体管的至少所述栅极导体的第二形状;以及所述计算机系统向所述第一形状添加横向延伸的突起,所述突起至少具有至少一部分,所述至少一部分在纵向上在所述栅极导体的、与所述源极扩散区域的相同的边上,所述突起具有以下内拐角,所述内拐角在纵向上相对于所述栅极导体定位成使得在将所述突起光刻印刷到所述集成电路上期间,所述拐角将圆化并且至少部分延伸到所述沟道区域内。
18.一种用于修订电路设计的版图的方法,所述方法用于在形成光刻投影式掩膜组的过程中使用,所述光刻投影式掩膜组用于在制造集成电路中使用,所述方法由具有处理器和存储器的计算机系统使用,所述方法包括以下步骤所述计算机系统在版图中标识具有用于在所述集成电路上限定源极扩散区域和漏极扩散区域以及叠置在沟道区域上的栅极导体的形状的对象晶体管,对于所述对象晶体管而言,在所述源极扩散区域和所述漏极扩散区域分别与所述沟道区域相交处,所述源极扩散区域不比所述漏极扩散区域宽,所述版图包括用于限定所述对象晶体管的至少所述漏极扩散区域的第一形状,和用于限定所述晶体管的至少所述栅极导体的第二形状;以及所述计算机系统向所述第一形状添加横向切入的切口,所述切口具有至少部分,所述部分在纵向上在所述栅极导体的、与所述漏极扩散区域的相同的边上,所述切口具有如下外拐角,所述外拐角在纵向上相对于所述栅极导体定位成使得在将所述切口光刻印刷到所述集成电路上期间,所述拐角将圆化并且至少部分延伸到所述沟道区域内。
19.一种集成电路,其包括晶体管的沟道区域、叠置在所述沟道区域上的栅极导体、以及在所述沟道区域的纵向相对侧上的第一扩散区域和第二扩散区域,所述第一扩散区域和所述第二扩散区域为第一掺杂类型,并且所述沟道区域为与所述第一掺杂类型相反的第二掺杂类型,所述第一扩散区域和第二扩散区域在电路中分别连接为所述晶体管的第一电流路径端子和第二电流路径端子,其中所述沟道区域在所述沟道区域与所述第一扩散区域相交的纵向位置LO处具有第一横向宽度,在所述沟道区域与所述第二扩散区域相交的纵向位置L2处具有第二横向宽度,并且在所述沟道区域内的纵向位置Ll处具有横向宽度W1,所述宽度Wl比所述第一宽度和第二宽度两者都小。
20.根据权利要求19所述的集成电路,其中所述沟道区域具有沿着所述沟道区域的长度的、横向相对的第一边和第二边,并且其中两个边从所述纵向位置LO处向所述纵向位置Ll处朝向彼此变窄。
21.一种用于对电路设计进行布图的方法,所述电路设计规定具有源极节点、漏极节点和栅极节点的晶体管,所述方法在具有处理器和存储器的计算机系统上使用,包括以下步骤所述计算机系统以在栅极导体的纵向相对侧上限定第一扩散区域和第二扩散区域的方式对所述电路进行布图,所述第一扩散区域具有大于所述第二扩散区域的宽度;以及所述计算机系统确定所述扩散区域中的哪个具有较大宽度;以及所述计算机系统基于在确定步骤中做出的确定,以将具有较大宽度的所述扩散区域连接为所述晶体管的所述源极的方式对电路互连进行布图。
全文摘要
本发明包括与用于集成电路的布图和投影式掩膜,其中,晶体管的扩散形状包括在横向相对的一个或者两个边上的横向延伸的凹陷,该凹陷具有内拐角和外拐角,这两个拐角中的至少一个在纵向上相对于栅极导体定位成使得在将扩散形状光刻印刷到集成电路上期间,拐角将圆化并且和至少部分地延伸到沟道区域中。本发明还包括针对以下的多个方面用于引入这种凹陷的系统和方法,以及具有非矩形沟道区域的集成电路器件,该沟道区域在其与源极区域相交处比在栅极之下的其他纵向位置处宽。
文档编号H01L21/266GK102365740SQ200980158366
公开日2012年2月29日 申请日期2009年10月29日 优先权日2009年1月27日
发明者V·莫罗茨, 崔文纲, 林锡伟 申请人:新思科技有限公司
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1