用于满足移位加载(los)测试的q选通单元架构和用于识别最佳q选通候选的算法_4

文档序号:9893463阅读:来源:国知局
或多个由相应处理器830中的一个或多个执行。在图7所示的实施例中,计算机可读有形存储设备830中的每一个是内部硬盘驱动的磁盘存储设备。可替换地,计算机可读有形存储设备830中的每一个是半导体存储设备,诸如ROM 824、EPR0M、闪存存储器、或者可以存储计算机程序和数字信息的任何其他计算机可读有形存储设备。
[0054]每组内部组件800还包括R/W驱动或接口 832,以从诸如⑶-ROM、DVD、记忆棒、磁带、磁盘、光盘或半导体储存设备之类的一个或多个便携式计算机可读有形存储设备936读取以及向其写入。用于设计程序200(图2)中的Q选通的最佳候选可以被存储在相应便携式计算机可读有形存储设备936中的一个或多个上,经由相应R/W驱动或接口 832被读取,并加载到相应硬盘驱动830中。
[0055]每组内部组件800还包括网络适配器或接口 836,诸如TCP/IP适配器卡、无线wi_fi接口卡,或者3G或4G无线接口卡,或者其他有线或无线通信链路。可以经由网络(例如,因特网、局域网或其他广域网)和相应网络适配器或接口 836从外部计算机将用于设计程序200(图2)中的Q选通的最佳候选下载至测试装置。从网络适配器或接口 836,可以将用于设计程序200(图2)中的Q选通的最佳候选加载到相应硬件驱动830中。网络可以包括铜导线、光纤、无线传输、路由器、防火墙、交换机、网关计算机和/或边缘服务器。
[0056]多组外部组件900中的每一组可以包括计算机显示监视器920、键盘930和计算机鼠标934。外部组件900还可以包括触摸屏、虚拟键盘、触摸板、定点设备以及其他人类接口设备。多组内部组件800中的每一组还包括设备驱动器840,以便接口连接到计算机显示监视器920、键盘930和计算机鼠标934。设备驱动器840、R/W驱动或接口 832以及网络适配器或接口 836包括硬件和(存储在存储设备830和/SROM 824中的)软件。
[0057]已经参照根据本发明实施例的方法、装置(系统)和计算机程序产品的框图和/或流程图描述本发明的各方面。应当理解的是,流程图和/或框图的每个方框以及流程图和/或框图中各方框的组合,都可以由计算机程序指令实现。这些计算机程序指令可以提供给通用计算机、专用计算机或其它可编程数据处理装置的处理器,从而生产出一种机器,使得这些计算机程序指令在通过计算机或其它可编程数据处理装置的处理器执行时,产生实现流程图和/或框图中的一个或多个方框中规定的功能/动作的装置。
[0058]可以以一种或多种程序设计语言的任何组合来编写前述程序,所述程序设计语言包括低级、高级、面向对象或非面向对象语言,诸如Java、Smalltalk、C++。程序代码可以完全地在用户计算机上执行、部分地在用户计算机上执行、作为独立软件包执行、部分在用户计算机上且部分在远程计算机上执行、或者完全在远程计算机或服务器上执行。在涉及远程计算机的情形中,远程计算机可以通过任何类型的网络一包括局域网(LAN)或广域网(WAN)连接到用户计算机,或者,可以连接到外部计算机(例如利用因特网服务提供商来通过因特网连接)。可选地,可通过计算机电路和其他硬件(未示出)整体或部分实现前述程序的功能。
[0059]基于上述内容,已经公开了根据本发明的计算机系统、方法和程序产品。然而,在不脱离本发明的范围的情况下,可以作出许多修改和替换。因此,本发明已经通过举例的方式公开而并非进行限制。
[0060]本发明的各种实施例的描述已经出于举例说明的目的而被提供,而并非旨在是无遗漏的或局限于所公开的实施例。在不脱离所描述的实施例的范围和精神的情况下,许多修改和变型对于本领域普通技术人员而言将是明显的。本文使用的术语被选择为最佳地解释实施例的原理、实际应用或相对于市场中发现的技术的技术改善,或者使本领域其他普通技术人员能够理解本文公开的实施例。[0061 ] 工业适用性
[0062]本发明在实现集成电路测试方面有工业适用性,所述集成电路测试是制造应用于各种电子和电气装置的可工作芯片所必需和要求的。
【主权项】
1.一种使用多个触发器创建支持用于移位加载(LOS)扫描测试的Q选通的架构的方法,所述方法包括: 将公共时钟信号施加于所述多个触发器的每个时钟输入; 将选通扫描使能信号施加于所述多个触发器的每个扫描使能输入;以及将全局扫描使能信号直接施加于多个Q门中的每一个,所述多个Q门中的每一个对应于所述多个触发器中的每一个, 其中所述全局扫描使能信号穿过信号路径,所述信号路径绕过位于所述多个触发器中的任何两个触发器之间的组合逻辑。2.如权利要求1所述的方法,其中所述多个触发器包括触发器和锁存器。3.如权利要求1所述的方法,其中所述组合逻辑包括:耦合到所述多个触发器中的一个触发器的输出的输入;以及耦合到所述多个触发器中的一个触发器的输入的输出。4.如权利要求4所述的方法,其中所述选通扫描使能信号是经由LOS扫描使能单元从所述全局扫描使能信号派生的。5.如权利要求1所述的方法,其中所述多个触发器包括:具有双扫描使能引脚的D型触发器。6.如权利要求1所述的方法,其中所述选通扫描使能信号包括提供Q选通,而不依赖于全速加载时钟。7.如权利要求1所述的方法,其中所述选通扫描使能信号使面积开销最小化,所述面积开销与带有对LOS测试的支持的选择性Q选通相关联。8.如权利要求1所述的方法,其中选通扫描使能信号减少在与LOS以及其他基于LOS架构的全速测试相关联时的功率衰减。9.如权利要求1所述的方法,其中当被Q选通时,所述选通扫描使能信号促进LOS全速测试。10.如权利要求1所述的方法,其中用于Q选通的触发器的所述架构选通扫描使能信号在LOS测试期间消除全速捕获时钟边沿处的建立时序违规。11.如权利要求1所述的方法,其中所述选通扫描使能信号独立于Q选通的触发器的时钟。12.如权利要求1所述的方法,其中所述选通扫描使能信号减轻组合逻辑到达触发器的D输入的延迟。13.如权利要求14所述的方法,其中在所述触发器的信号之前,所述组合逻辑到达所述触发器的D输入。14.如权利要求14所述的方法,其中所述组合逻辑到达所述触发器的D输入能够提供充足的裕量用于建立。15.—种使用Q选通进行移位加载(LOS)扫描测试的设备,所述设备包括: 各自接收公共时钟信号和选通扫描使能信号的多个触发器; 各自具有第一输入和第二输入的多个Q门,所述多个Q门中的一个Q门的第一输入耦合到所述多个触发器中的一个触发器的输出,所述多个Q门中的所述一个Q门的第二输入耦合到全局扫描使能信号;以及 至少一个组合块,其具有:耦合到所述多个Q门中的所述一个Q门的输出的输入;以及耦合到所述多个触发器中的下一个触发器的输入的输出, 其中所述全局扫描使能信号独立于加载时钟。16.如权利要求15所述的设备,其中所述多个触发器包括D型触发器。17.如权利要求15所述的设备,还包括: LOS扫描使能单元。18.如权利要求15所述的设备,其中所述多个Q门中的每个Q门包括: AND门;和 反相器门。19.如权利要求15所述的设备,其中所述多个Q门中的每个Q门包括:用于支持LOS测试的Q选通的组合门。20.如权利要求19所述的设备,其中用于支持LOS测试的Q选通的所述组合门包括:AND门、OR门、NOT门和XOR门中的至少一种。
【专利摘要】提供了一种使用多个触发器创建支持用于移位加载(LOS)扫描测试的Q选通的架构的方法。该方法可以包括:将公共时钟信号施加于多个触发器的每个时钟输入,以及将选通扫描使能信号施加于多个触发器的每个扫描使能输入。该方法还可以包括:将全局扫描使能信号直接施加于多个Q门中的每一个,所述多个Q门中的每一个对应于所述多个触发器中的每一个,其中全局扫描使能信号穿过信号路径,该信号路径绕过位于所述多个触发器中的任何两个触发器之间的组合逻辑。
【IPC分类】G01R31/3185
【公开号】CN105659100
【申请号】
【发明人】R·戈帕拉克里希南塞蒂, K·库尔施雷施塔, B·乌谱图利
【申请人】国际商业机器公司
【公开日】2016年6月8日
【申请日】2014年5月29日
当前第4页1 2 3 4 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1