电压调整装置与方法、移动装置及使其运行的方法

文档序号:6380490阅读:191来源:国知局

专利名称::电压调整装置与方法、移动装置及使其运行的方法
技术领域
:本发明有关于系统芯片(system-on-chip)平台的电压调整(voltagescaling)结构。
背景技术
:包含相同电路系统(circuitry)的不同集成电路(IntegratedCircuit,以下简称为IC)芯片能够以不同的方式执行相同或者不同的功能,例如,由于制备工艺中先天的(innate)变化、电压供给变化、电压以及温度条件变化等(条件通常意指例如PVT的结合方式,其中P、V、以及T分别表示工艺、电压、以及温度)。IC芯片能够基于芯片运行的频率来表征并以此分为几种类别(例如:一般、快、慢等)。IC芯片的表征(characterization)包含决定针对每一类别的频率-电压特性。频率-电压特性提供针对IC芯片的具体类别、在给定频率下运行的电压需求信息。对应给定IC芯片类别的频率-电压特性可用于一种包含芯片的系统,使得系统能够决定在不同频率下芯片的操作电压。
发明内容有鉴于此,本发明提供新的电压调整装置与方法、移动装置及使其运行的方法。依本发明一实施方式,揭示一种电压调整装置,包含数据处理器、硬件监测器、电源和控制器。硬件监测器仿真数据处理器中的关键路径,并且测量相关于所仿真的关键路径的参数得到测量值,处理测量值并且当处理结果满足条件时产生中断信号。电源向数据处理器以及硬件监测器提供电压。控制器从硬件监测器接收中断信号,并且响应中断信号控制电源调整电源的输出电压水平。根据本发明另一实施方式,提供一种电压调整装置,包含数据处理器、硬件监测器、电源和控制器。硬件监测器测量相关于数据处理器的参数得到测量值,处理测量值并且当处理结果满足条件时产生中断信号。电源向数据处理器以及硬件监测器提供电压。控制器从硬件监测器接收中断信号,并且响应中断信号控制电源调整该电源的输出电压水平。根据本发明又一实施方式,提供一种移动装置,包含数据处理器、数据总线、硬件监测器、电源、查找表、控制器。硬件监测器测量相关于数据处理器的参数得到参数的测量值,耦接数据总线,用于处理测量值并且当处理结果满足条件时产生中断信号。电源向数据处理器以及硬件监测器提供电压。查找表具有多个目标电压值和多个预设参数值,其中每一目标电压值和每一预设参数值对应一种条件。控制器使用开放环路来基于从查找表获取的多个目标电压值来控制电源的输出电压水平,并且使用闭合环路来基于硬件监测器提供的反馈来控制电源的输出电压水平,其中当使用闭合环路来控制时该控制器从硬件监测器接收中断信号,并且响应中断信号,调整电源的输出电压水平。根据本发明又一实施方式,提供一种电压调整方法,包含:使用电源向数据处理器提供电压;使用硬件监测器测量相关于数据处理器的参数得到测量值;由硬件监测器处理测量值并且当处理结果满足条件时产生中断信号;由控制器在接收中断信号时,控制电源调整电源的输出电压水平。根据本发明又一实施方式,提供一种使移动装置运行的方法,包含:使用电源向数据处理器提供电压;使用数据处理器执行应用程序;使用自适应电压调整过程来降低数据处理器的功率消耗,以及通过由硬件监测器来处理测量值,并且在处理的结果满足条件时将测量值发送到控制器来降低从硬件监测器到控制器之间测量值的传输所使用的总线频宽。使用自适应电压调整过程来降低数据处理器的功率消耗的步骤包含:使用硬件监测器测量相关于数据处理器的参数得到测量值;通过数据总线将来自硬件监测器的测量值发送到控制器;以及使用控制器依据测量值来控制电源调整电源的输出电压水平。本发明揭示的电压调整装置与方法、移动装置及其运行方法能够避免在闭合环路中不需要的状况,例如持续振荡或者失控振荡。图1显示用于电压调整的系统的范例方块图。图2是包含一序列操作来控制系统的操作电压的范例流程的流程图。图3是显示包含范例查找表存储器接口的系统的示意图。图4是显示范例关键路径仿真器的电路示意图。图5是可配置的延迟电路内部电路系统的范例示意图。图6A显示采样阶段的子阶段的范例电路系统示意图。图6B显示在采样阶段不同部分的输出信号的绘图。图7是包含一序列包含初始化以及校正关键路径仿真器的操作的范例流程的流程图。图8显示范例稳定性控制器的示意方块图。图9A是显示稳定性控制器如何对环境变量的改变做出反应的范例绘图。图9B是显示稳定性控制器如何对操作频率的改变做出反应的范例绘图。图1OA是显示在自适应电压调整系统中包含多个监测器的系统的范例示意图。图1OB是显示范例系统的示意图。图1lA显示对应不同监测器选择权重的范例。图1lB显示对应不同监测器选择权重的另一范例。图12是控制器与监测器之间通信的范例系统的方块图。图13显示自适应电压调整系统中离线控制方案的信号范例时序图。图14显示比较将调整信息包含于中断信号中的系统以及用于自适应电压调整基于轮询的系统的范例绘图。图15是计算机系统的示意图。具体实施例方式数据处理器的功率消耗能够通过在低于指定电压水平的电压水平运行数据处理来降低。指定电压水平是基于最坏情况的条件所决定的电压水平。由于,举例而言,材料以及制备工艺的变化,相同设计的不同集成电路芯片可能具有不同特性。最具动态性的电压频率调节(DynamicVoltageFrequencyScaling,DVFS)系统使用离散的操作电压/频率对(discretevoltage/frequencypairs),其一般储存在集成电路芯片的查找表(LookUpTable,LUT)中。一般选择足够高的电压来允许大部分的芯片正常运行。对于那些对应给定时钟频率,能够运行于较低电压的集成电路芯片,根据查找表所指定的电压水平来运行数据处理器将导致功率浪费。通过使用硬件仿真器(emulator)来仿真数据处理器的关键路径以及测量所仿真的关键路径的时序或者延迟,能够将反馈信息提供给电压控制器来决定能够以充足的时间裕度(timingmargin)来运行数据处理器的最低电压。此自适应电压调整(AdaptiveVoltageScaling,AVS)在降低数据处理器的功耗同时仍允许处理器正常运行。对于用于计算装置的集成电路,例如处理器芯片,频率-电压特性提供针对给定频率的有关电压需求的信息。一般是将具有此集成电路的装置配置为运行不同应用,并且频率需求通常为应用的函数。例如,智能电话上,相比于语音应用或者信息应用,视频或者其他多媒体应用可能需要智能电话运行在更高的频率。需要处理器运行在高时钟频率的应用一般消耗更多功率,由此需要更高的操作电压。针对给定频率的电压需求能够基于对应集成电路给定类别(快、慢、超快等)的频率-电压特性来决定。一范例中,能够将频率-电压特性储存为查找表,并且通过集成电路系统存取来决定针对给定频率的电压需求。但是,因为给定的集成电路会展现相应类别的一般特性所引起的变化(例如,由于材料或者制备工艺的固有变化(inherentvariations)及/或温度变化),并且因为频率-电压特性一般是针对最坏情况条件来决定的,给定集成电路有时可以运行于相较于对应给定类别的频率-电压特性所指定的电压,更低的电压。例如,使用关键路径仿真器监测在运行期间(run-time)电路中关键路径的延迟,并且比较所监测的延迟与所期望的延迟(例如通过预表征(pre-characterization)获取的延迟),则在所监测的延迟实质上等于所期望的延迟之前,可以降低操作电压。在特定环境下,功率消耗与电压的平方成比例,由此降低操作电压能够使得功率得到大幅降低。这种通过调整操作电压进行的功率管理意指电压调整。一些实施方式中,在此描述的方法以及系统能够展现后续至少一个优势。通过使用基于总线(bus-based)的结构,电压调节系统(voltageregulationsystem)是可扩展的(scalable)。能够在总线上添加额外的性能监测器而不对结构的其余部分作出实质改变。此结构还允许在监测器的选择上增加灵活性,选择接近关键路径的监测器,而忽略或者分配低权重给其他监测器。在负载改变前,电压调节系统持续跟踪不同的监测器并且基于监测器读数(readings)调整电压。通过跟踪以及调整负载改变(即频率及/或温度的明显改变)之间的电压,能够达到在运行时间期间明显的功率节约的效果。通过提供稳定性控制器(stabilitycontroller),系统能够补偿超调(overshoot)/负调(undershoot)电压响应,还能够防止例如失控(runaway)振荡等不良状况。使用本发明的电压调节方法及系统还能够降低环路响应时间。环路响应时间定义为在工作负荷(workload)波动(fluctuation)时(例如频率、温度、及/或IR压降(IRdrop)改变时)电压调节系统响应改变的速度有多快。IR压降是电压降或者跨越(across)传导路径(或者电路中任何其他电阻性元件)的电压改变。IR压降通过电流I以及相应电阻R的乘积给出。使用本发明的方法及系统,环路响应时间能够以几种方式降低,例如:通过提供对查找表存储器的直接存取来降低查找表存取时间,以及基于在两次电压调整中的第一次电压调整期间所执行的电压校正量来计算两次电压调整之间的时间。通过提供支持功能来处理功率降低请求,还能进一步节约功率。此功率降低请求能够关闭电压调节系统中未处于使用状态的至少一部分。电压调整系统概况图1显示用于电压调整的系统100实施方式的范例方块图。一些实施方式中,系统100是自适应电压调整系统,也可视为系统中的电压调整装置。总体来说,系统100包含处理器105以及控制器110。电源(powersupply)115将供电电压117提供给处理器105。电源115包含由控制器110控制的电压调节器114来调节电源115提供的供电电压117的电压水平。控制器110接收来自至少一监测器125a、125b、…、125η(统称为监测器125)的反馈。监测器125监测相关于处理器105的操作参数(例如相关于处理器105的电路延迟),并且相应地控制供电电压117。一些实施方式中,监测器125被配置为测量相关于处理器的不同部分的特性。上述组合的反馈环路有助于将供电电压117调节为低于从查找表获取的频率-电压特性所提供的电压水平,如此可大幅节约功率。处理器105可以是不同形式或者不同类型。一些实施方式中,处理器105是用于蜂窝电话的移动处理器。处理器105还可以是(并非对本发明的限制)用于台式计算机、膝上型电脑、平板电脑、电子阅读器、或者其他有线或者无线电子装置的处理器。处理器105用于基于处理器执行的应用在不同的功率水平下运行。处理器105还基于应用在不同的时钟频率下运行。例如,处理器105在执行语音以及短信息应用时,运行于低电压和低频率。但是,对于更复杂的应用,例如呈现(rendering)视频,处理器105运行于相对较高的电压和频率。特定的应用以及相应操作参数通常意指对应处理器105的负载。一般而言,处理器105用于在负载改变时,改变操作电压以及频率。一些实施方式中,处理器的一部分也被关闭或者运行于“睡眠模式”。处理器105还包含功率管理模块,用于基于负载来管理处理器105的操作参数。一些实施方式中,处理器包含至少一核心120(也意指主控制单元(MasterControlUnit,MCU)120)。控制器110用于管理至少一部分的功率管理操作。控制器110可与电压调节器114通信来控制电源115提供的供电电压117。一些实施方式中,控制器110与电压调节器114之间的通信是通过总线135进行。控制器110还可以与电压调节器114直接耦接。主控制单元120用于编写或者储存主控制单元120的频率-电压特性。例如,频率-电压特性能够储存在查找表140中。尽管图1显示,查找表140是控制器110的一部分,查找表140还能够储存在系统100的其他位置中(例如在处理器105上,或者在一个独立的存储装置中)。控制器110用于基于从查找表140获取的目标电压值(例如预存电压值),来控制电压调节器114。例如,当处理器105必须运行在一个特定频率时,控制器110基于在查找表140中储存的频率-电压特性所指定的电压(即目标电压值)来控制电压调节器114。控制器110还基于从监测器125接收的反馈信息来控制电压调节器114。例如,对于给定频率,如果处理器105运行于频率-电压特性指定的操作电压,则监测器125用于测量关键路径的延迟,以获得测量的电路延迟值。如果在操作条件下,测量延迟少于所期望的目标延迟(例如测量的电路延迟值小于目标电路延迟值),则控制器110降低供电电压117。接着,再一次使用监测器125来测量延迟,并且相应地重新调整供电电压117。在测量延迟足够接近于目标延迟(例如,由阈值条件定义是否足够接近)前,控制器110基于来自监测器125的反馈来控制电压调节器114。基于反馈的控制在基于查找表140的控制之间(例如两次基于查找表的控制之间)的时间点完成。在本发明一些实施方式中,目标电路延迟值也可以储存在查找表140中。当控制器110仅基于从查找表140获取的目标电压值(即预存电压值)而不参考反馈信息来控制电压调节器114时,这意指开放环路控制。当控制器110基于反馈信息来控制电压调节器114时,这意指闭合环路控制。当控制器110基于从查找表140获取的目标电压值以及反馈信息二者来控制电压调节器114时,开放环路控制用于将操作电压驱动至目标电压值,并且闭合环路控制用于将操作电压最佳化。在此状况下,从查找表140获取的目标电压值对应不同条件,例如,对应特定频率、温度、及/或工艺的最差情况下的电压,并且用于起始点,来允许使用闭合环路控制更快地到达最佳化的电压值。也就是说,控制器110使用开放环路来基于查找表提供的目标电压值来控制电源115的输出电压水平,并且使用闭合环路基于监测器125提供的反馈来控制电源115的输出电压水平。基于监测器125来对输出电压水平的控制将在之后详细描述。一些实施方式中,控制器Iio根据从查找表140获取的目标电压值(即预存电压值)来控制电源的输出电压水平达到一个电压水平,接着使用自适应电压调整过程来最佳化输出电压水平。一些实施方式中,查找表140还提供闭合环路控制中对应所测量的参数的预设参数值(即上述目标延迟值)。预设参数值也对应不同条件。因此,当条件改变时(例如时钟频率、供电电压下降、温度、硅老化、工艺偏移其中至少之一改变时),控制器可以从查找表140获取新的目标电压值来用于开放环路控制,并且获取新的预设参数值来用于闭合环路控制。处理器105还包含监测器125,测量至少一相关于芯片上(on-chip)条件的参数。监测器125还意指硬件性能监测器(HardwarePerformancemonitors,HPM)0一些实施方式中,仅在处理器105上设置一个监测器125。其他状况下,可使用多个监测器125。一些实施方式中,将监测器125设置在处理器核心上足够接近潜在的(potential)关键路径的位置,以使得影响关键路径的温度以及其他条件也影响监测器125。一些实施方式中,每一监测器125都包含电路系统(例如,逻辑门以及互连装置),使得相关于通过监测器125传递的信号的延迟大致上或者实质上等于相关于处理器中关键路径的延迟。此监测器125还意指关键路径仿真器(CriticalPathEmulator,CPE),将在下文详细描述。当关键路径仿真器用作监测器时,测量跨越监测器的延迟并且比较测量延迟与所期望的目标延迟来取得关于电路性能的指示。例如,假若测量延迟少于目标延迟,则通常可降低操作电压。另一方面,假若测量延迟超过目标延迟,则通常可提高操作电压。相似地,测量延迟足够接近于目标延迟则表示操作电压是对应处理器所执行的应用实质上最佳的或者接近最佳的水平的操作电压。低操作电压一般会降低晶体管的驱动电流,导致较慢的速度。但是,当晶体管已经处于“快”的状态(例如,由于快速工艺或者温度条件),则通常不需要高电压来在高频率下运行。在此状况下,在允许晶体管运行于所需频率时,能够降低操作电压。—些实施方式中,每一关键路径仿真器产生一个读数(readout),反应时钟偏移(skew)、电压、温度以及影响通过关键路径仿真器的延迟的其他变量其中至少之一的效应。一些实施方式中,系统100包含不同类型的监测器125。例如,一个监测器125a是Kogge-Stone加法器而另一监测器125b是关键路径仿真器或者环形振荡器(ringoscillator)。一些实施方式中,每一监测器125都稱接于监测器失能线(monitordisableline)180。控制器110使用相应的监测器失能线180来使至少一监测器125存取总线135夕5倉泛。一些实施方式中,监测器125包含时序错误监测器。时序错误监测器设置在电路中,例如,触发器中,并且在电路运行前将其配置为失败。时序错误监测器还用于向控制器110警告潜在的危险条件以使得供电电压117能够得到适当的警告以保证没有错误的操作。一些实施方式中,当使用多个监测器125时,多个监测器125可以仿真数据处理器不同部分的多个关键路径。一些实施方式中,控制器110会适当地加权单个监测器的输出。一些实施方式中,将多个监测器125的输出(例如,延迟)平均来决定用于控制电压调节器114的参数。还可以基于监测器的位置来对监测器125的输出进行加权。这是因为关键路径的位置因为应用(及/或操作频率)的改变而改变,并且特定监测器的权重也会因应用的不同而不同。例如,假若已知对于一个特定的应用,特定监测器(例如监测器125a)比其他监测器(例如监测器125b)物理上更接近于关键路径,则在决定用於控制此特定应用的控制器110所用的参数时,给监测器125a的输出的权重比给监测器125b的输出的权重更高。一些实施方式中,还可以忽略监测器125中至少之一的输出。尽管图1显示将监测器125设置于处理器105上,还可以将监测器125设置在系统100中的其他位置,例如,在集成电路上靠近处理器105的周边,但是在处理器105外部的位置。当监测器125设置在处理器105外部时,电源115向处理器105和监测器125提供电压。系统100还包含温度传感器130。温度传感器130用于测量并且提供处理器105热力条件的信息。温度传感器130提供作为相关温度的参考点,用于在此所描述的压控(voltagecontrolled)反馈环路。温度传感器130还用于防止例如热力失控的不良条件。控制器110使用温度传感器130提供的信息来决定用于电压调节器114的控制参数。例如,假如处理器105—特定部分的温度在运行期间上升,则处理器此部分的电压需求可从查找表140提供的值降低。在此状况下,温度信息用于决定是否将供电电压117降低,以及降低多少。模拟以及数字温度传感器都能够用作温度传感器130。一些实施方式中,温度传感器130耦接于温度传感器失能线182。控制器110通过温度传感器失能线182来使温度传感器130失能(disable)。电源115提供操作功率(operatingpower)给处理器105。一些实施方式中,电源115也会提供操作功率给系统100的其他部分,例如,控制器110。一些实施方式中,电源115是直流到直流(DirectCurrent-DirectCurrent,DC-DC)转换器,将外部直流源提供的电压水平转换为另一电压水平。电源115由控制器110控制以使得提供给处理器105的供电电压117是可调整的。当外部电源是电池(例如,用于蜂窝电话或者膝上型电脑的电池)时,电源115通过对电池提供的电压进行转换,来提供必要的供电电压117。电源115采用不同方法来将一个电压水平转换为另一电压水平,例如采用线性转换、切换模式转换、或者磁性转换。电源115可以包含于功率管理单元(PowerManagementUnit,PMU)中。在此状况下,功率管理单元经由例如电源串行接口(PowerSerialPort,PSP)的连接耦接于处理器105。一些实施方式中,电源串行接口有助于电源115以及处理器105之间的高效通信。一些实施方式中,电源115用于快速响应电压改变(例如,5mV/μS)。电源115还传递细粒度(fine-grained)电压分辨率,例如,5mV/步(step)。一些实施方式中,电源115包含切换调节器,例如Buck转换器。系统100还包含共享的总线135,总线135有助于系统100中不同主体(例如处理器105、控制器110以及电源115)之间的通信。监测器125—般还耦接于总线135。一些实施方式中,控制器110作为总线主设备(busmaster),并且控制对监测器125以及电源115的存取。相关于使用总线135的存取时延(latency)—般很小。一范例中,对于122MHz的总线频率,其中每一读/写操作花费3周期,存取时延是8.1nsx3=24.3。因为系统100提供几种具有单一总线主设备的监测器125,还能够给系统100添加额外的监测器而不会明显改变控制器110。这允许依需要来添加或者减少监测器125的可扩展结构。此外,因为监测器125能够使用共享的总线135存取,控制器110能够依需要选择至少一监测器。因此,即使有较大数目的监测器可用时,也能够仅使用较小数目的监测器。一些实施方式中,除去监测器125耦接于总线135之外,监测器125还直接连接于控制器110。一些实施方式中,使用直接连接来降低总线135上的频宽需求。一种直接读取监测器125而无需使用总线的模式意指IRQ模式。IRQ模式中,控制器110使用来自监测器125的中断信号的信息,来(例如以预先决定的量)增加/减少供电电压117,而不是通过总线135读取监测器采样值(sample)。或者,控制器110,一旦接收到中断信号则获取监测器采样值(通过直接连接或者通过总线135获取)并且计算必要的调整。控制器110跟踪处理器105中频率及/或温度的变化并且与电源115通信来控制供电电压117。电压调节器114一般由控制器110配置。控制器110包含,例如,查找表140,至少一配置暂存器(configurationregisters)145,以及状态机(statemachine)150。控制器110还可包含稳定性控制器155,以保证控制器110不会使电源115振荡。特定情况下,能够阻止控制器Iio对电源115行使任何控制。这意指“旁路”模式(bypassmode)。控制器110可依需要而激活或者关闭(deactivate)旁路模式。例如,为了配置查找表140,控制器110可激活旁路模式。当需要对电源115进行电压调节时,则控制器110可关闭旁路模式。一些实施方式中,将查找表140作为控制器110的一部分储存。其他情况下,将查找表140储存在存储装置外部,但是可由控制器110存取。查找表140储存频率-电压特性。因此,当处理器需要在给定频率运行应用程序时,通过存取查找表140来决定作为供电电压117而提供的相应电压水平。一些实施方式中,查找表140由控制器110硬件来更快地直接存取。但是,也能够由软件存取查找表140。例如,状态机150通过在专用硬件连接上发送查找表读取请求185来从查找表140读取。查找表读取请求185包含:指示所存取的查找表140的频率或者频率范围。查找表140响应查找表读取请求185,使相应的查找表入口(entries)在查找表140的接口(ports)上是可用的。一些实施方式中,查找表140还指示控制器110已经找到相应的查找表入口。软件模式中,将查找表指示指针(lookuptableindexpointer)187返回状态机150。软件模式中,软件加载暂存器中的地址来触发查找表搜索操作。如果找到相应的查找表入口,则将查找表指示指针187返回控制器110。接着,软件基于查找表指示指针187从存储器读取查找表入口。状态机150基于查找表指示指针187存取对应所需频率或者频率范围的查找表入口。一些实施方式中,响应查找表读取请求185,会返回至少一查找表入口。一些实施方式中,查找表140包含针对不同频率的独立的入口。每一入口包含性能目标,例如关键路径仿真器的目标延迟、针对至少一监测器125的编码、以及对应特定频率的电压值。一般而言,查找表140的内容对特定IC芯片类型来说是固定的。可以通过软件或者硬件编码,将查找表140编写进系统100。控制器110包含状态机150来作为控制对总线135存取的总线主设备(BUSmaster)。一般地,状态机150控制来自或者到达控制器110不同部分的信息流。一些实施方式中,控制器110轮询(poll)至少一监测器125来获取有关处理器105当前操作条件的数据,并且与控制器110通信来决定是否需要调整供电电压117。接着,状态机150与电源115通信来依需要调整供电电压117。系统100还包含至少一配置暂存器145,用于配置状态机150或者控制器110的其他部分。例如,使用配置暂存器145来致能控制器110。相似地,使用配置暂存器145指示状态机150存取查找表140来获取相关于特定频率的储存值。一些配置暂存器145的范例在以下的表I中提供。表1:配置暂存器的范例权利要求1.一种电压调整装置,其特征在于,所述的电压调整装置包含:数据处理器;硬件监测器,用于仿真所述的数据处理器中的关键路径,并且测量相关于所仿真的所述的关键路径的参数以得到测量值,处理所述的测量值以得到处理结果,并且当所述的处理结果满足条件时产生中断信号;电源,用于向所述的数据处理器以及所述的硬件监测器提供电压;以及控制器,用于从所述的硬件监测器接收所述的中断信号,并且响应所述的中断信号控制所述的电源调整所述的电源的输出电压水平。2.如权利要求1所述的电压调整装置,其特征在于,所述的控制器询问所述的硬件监测器来获取所述的参数的所述的测量值,并且根据所述的测量值来控制电源。3.如权利要求2所述的电压调整装置,其特征在于,所述的硬件监测器比较所述的测量值与预设参数值,并且当所述的测量值与所述的预测参数值之间的差别大于阈值时产生所述的中断信号。4.如权利要求2所述的电压调整装置,其特征在于,所述的硬件监测器比较所述的测量值与较高阈值,并且当所述的测量值大于所述的较高阈值时产生所述的中断信号。5.如权利要求4所述的电压调整装置,其特征在于,当所述的控制器接收到所述的中断信号并且获取所述的测量值时,调整所述的电源,将所述的输出电压水平降低一预先决定的量。6.如权利要求4所述的电压调整装置,其特征在于,当所述的控制器接收到所述的中断信号并且获取所述的测量值时,至少部分地依据所述的测量值来计算电压降低量,并且调整所述的电源,将所述的输出电压水平降低所计算的所述的电压降低量。7.如权利要求2所述的电压调整装置,其特征在于,所述的硬件监测器比较所述的测量值与较低阈值,并且当所述的测量值小于所述的较低阈值时产生所述的中断信号。8.如权利要求7所述的电压调整装置,其特征在于,当所述的控制器接收到所述的中断信号并且获取所述的测量值时,调整所述的电源,将所述的输出电压水平提高一预先决定的量。9.如权利要求7所述的电压调整装置,其特征在于,当所述的控制器接收到所述的中断信号并且获取所述的测量值时,至少部分地依据所述的测量值来计算电压提高量,并且调整所述的电源,将所述的输出电压水平提高所计算的所述的电压提高量。10.如权利要求1所述的电压调整装置,其特征在于,所述的硬件监测器在比所述的控制器能重复调整所述的电源的所述的输出电压水平的频率更高的频率下测量参数。11.如权利要求1所述的电压调整装置,其特征在于,所述的硬件监测器所测量的所述的参数包含电路延迟,并且所述的控制器控制所述的电源的所述的输出电压水平来降低测量电路延迟与预设电路延迟值之间的差别。12.如权利要求1所述的电压调整装置,其特征在于,所述的硬件监测器所测量的所述的参数包含环形振荡器的振荡频率,并且所述的控制器控制所述的电源的所述的输出电压水平来降低测量振荡频率与预设振荡频率值之间的差别。13.如权利要求1所述的电压调整装置,其特征在于,所述的硬件监测器测量时间裕度,并且所述的控制器控制所述的电源的所述的输出电压水平来将所述的时间裕度调整到特定范围内。14.如权利要求1所述的电压调整装置,更包含查找表,所述的查找表具有对应所述的参数的多个预设值,每一预设值对应一种条件。15.如权利要求14所述的电压调整装置,其特征在于,所述的条件包含时钟频率、供电电压下降、温度、硅老化、工艺偏移其中至少之一。16.如权利要求14所述的电压调整装置,其特征在于,每一预设值表示在给定条件下所述的硬件监测器所测量的所述的参数的所需参数值。17.如权利要求14所述的电压调整装置,其特征在于,所述的控制器控制所述的电源来降低所述的测量值与相应预设值之间的差别。18.如权利要求1所述的电压调整装置,其特征在于,所述的电压调整装置更包含多个硬件监测器,每一硬件监测器重复测量与所述的数据处理器相关的参数来得到多个测量值,处理所述的多个测量值,并且所述的多个硬件监测器基于所述的多个测量值来产生多个中断信号。19.如权利要求18所述的电压调整装置,其特征在于,当所述的控制器接收到来自所述的多个硬件监测器的至少两个中断信号时,所述的控制器询问一个发送中断信号的硬件监测器来获取一个测量值,并且依据所述的测量值来调整所述的电源的所述的输出电压水平。20.如权利要求18所述的电压调整装置,其特征在于,当所述的控制器接收到来自所述的多个硬件监测器的至少两个中断信号时,所述的控制器询问所有发送中断信号的硬件监测器来获取多个测量值,并且依据所述的多个测量值来调整所述的电源的所述的输出电压水平。21.如权利要求1所述的电压调整装置,其特征在于,所述的控制器基于所述的中断信号中的信息来调整所述的电源的所述的输出电压水平。22.如权利要求21所述的电压调整装置,其特征在于,所述的中断信号包含多个比特,其中第一比特模式表示所述的输出电压水平应所述的提高,第二比特模式表示所述的输出电压水平应所述的降低。23.如权利要求21所述的电压调整装置,其特征在于,所述的控制器基于所述的中断信号中的信息来将所述的输出电压水平提高或者降低预定量。24.—种电压调整装置,其特征在于,所述的电压调整装置包含:数据处理器;硬件监测器,用于测量相关于所述的数据处理器的参数以得到测量值,处理所述的测量值以得到处理结果,并且当所述的处理结果满足条件时产生中断信号;电源,用于向所述的数据处理器以及所述的硬件监测器提供电压;以及控制器,用于从所述的硬件监测器接收所述的中断信号,并且响应所述的中断信号控制所述的电源调整所述的电源的输出电压水平。25.如权利要求24所述的电压调整装置,其特征在于,所述的控制器询问所述的硬件监测器来获取所述的参数的所述的测量值,并且根据所述的测量值来控制电源。26.如权利要求25所述的电压调整装置,其特征在于,当所述的测量值大于较高阈值或者小于较低阈值时,所述的硬件监测器产生所述的中断信号。27.如权利要求24所述的电压调整装置,其特征在于,所述的硬件监测器在比所述的控制器能重复调整所述的电源的所述的输出电压水平的频率更高的频率下测量参数。28.如权利要求24所述的电压调整装置,其特征在于,所述的控制器基于所述的中断信号中的信息来调整所述的电源的所述的输出电压水平。29.如权利要求28所述的电压调整装置,其特征在于,所述的中断信号包含多个比特,其中第一比特模式表示所述的输出电压水平应所述的提高,第二比特模式表示所述的输出电压水平应所述的降低。30.如权利要求24所述的电压调整装置,其特征在于,所述的控制器基于所述的中断信号中的信息来将所述的输出电压水平提高或者降低预定量。31.如权利要求24所述的电压调整装置,其特征在于,所述的硬件监测器包含关键路径仿真器,用于仿真所述的数据处理器中的关键路径,并且所测量的所述的参数是相关于所述的关键路径的时间裕度。32.—种移动装置,其特征在于,所述的所述的移动装置包含:数据处理器;数据总线;硬件监测器,测量相关于所述的数据处理器的参数以得到所述的参数的测量值,所述的硬件监测器耦接所述的数据总线,用于处理所述的测量值以得到处理结果,并且当所述的处理结果满足条件时产生中断信号;电源,向所述的数据处理器以及所述的硬件监测器提供电压;查找表,具有多个目标电压值和多个预设参数值,其中每一目标电压值和每一预设参数值对应至少一条件;以及控制器,使用开放环路控制来基于从所述的查找表获取的所述的多个目标电压值来控制所述的电源的输出电压水平,并且使用闭合环路控制来基于所述的硬件监测器提供的反馈来控制所述的电源的所述的输出电压水平,其中当使用所述的闭合环路控制时,所述的控制器从所述的硬件监测器接收所述的中断信号,并且响应所述的中断信号,调整所述的电源的所述的输出电压水平。33.如权利要求32所述的移动装置,其特征在于,所述的控制器通过所述的数据总线询问所述的硬件监测器来获取来自所述的硬件监测器的所述的参数的测量值,并且控制所述的电源的所述的输出电压水平来降低所述的参数的测量值与相应预设参数值之间的差别。34.如权利要求32所述的移动装置,其特征在于,所述的控制器依据所述的中断信号中的信息来调整所述的电源的所述的输出电压水平。35.如权利要求34所述的移动装置,其特征在于,所述的控制器基于所述的中断信号中的信息来将所述的输出电压水平提高或者降低预定量。36.如权利要求32所述的移动装置,其特征在于,所述的条件包含时钟频率、供电电压下降、温度、硅老化、工艺偏移其中至少之一。37.如权利要求33所述的移动装置,其特征在于,当时钟频率、供电电压下降、温度、硅老化、或者工艺偏移改变时,所述的控制器从所述的查找表获取新的目标电压值来用于所述的开放环路的控制,并且从所述的查找表获取新的预设参数值来用于所述的闭合环路的控制。38.如权利要求32所述的移动装置,其特征在于,所述的移动装置包含移动电话、平板电脑、膝上型电脑、便携式音频播放器、便携式视频播放器、数码相机、电子阅读装置其中至少之一。39.如权利要求32所述的移动装置,其特征在于,所述的参数包含延迟电路的时间裕度和环形振荡器的振荡频率其中至少之一。40.一种电压调整方法,其特征在于,所述的电压调整方法包含:使用电源向数据处理器提供电压;使用硬件监测器测量相关于所述的数据处理器的参数以得到测量值;由所述的硬件监测器处理所述的测量值并且当所述的处理的结果满足条件时产生中断信号;由控制器在接收到所述的中断信号时,控制所述的电源调整所述的电源的输出电压水平。41.如权利要求40所述的电压调整方法,其特征在于,所述的电压调整方法还包含:在接收所述的中断信号时,询问所述的硬件监测器来获取所述的参数的所述的测量值。42.如权利要求41所述的电压调整方法,其特征在于,所述的电源的所述的输出电压水平依据所述的测量值调整。43.如权利要求41所述的电压调整方法,其特征在于,控制所述的电源的步骤包含:控制所述的电源调整所述的电源的所述的输出电压水平来降低所述的测量值与参数设置点的值之间的差别。44.如权利要求40所述的电压调整方法,其特征在于,所述的控制器基于所述的中断信号来将所述的输出电压水平提高或者降低预定量。45.如权利要求40所述的电压调整方法,其特征在于,处理所述的测量值的步骤包含:比较所述的测量值与较高阈值和较低阈值,并且产生所述的中断信号的步骤包含:当所述的测量值大于所述的较高阈值或者小于所述的较低阈值时,产生所述的中断信号。46.如权利要求40所述的电压调整方法,其特征在于,所述的电压调整方法还包含:使用所述的硬件监测器仿真所述的数据处理器中的关键路径,其中测量相关于所述的数据处理器的所述的参数的步骤包含测量相关于所述的关键路径的参数。47.一种使移动装置运行的方法,其特征在于,所述的移动装置运行的方法包含:使用电源向数据处理器提供电压;使用所述的数据处理器执行应用程序;使用自适应电压调整过程来降低所述的数据处理器的功率消耗,包含:使用硬件监测器测量相关于所述的数据处理器的参数得到测量值;通过数据总线将来自所述的硬件监测器的所述的测量值发送到控制器;以及使用所述的控制器依据所述的测量值来控制所述的电源调整所述的电源的输出电压水平;以及通过由所述的硬件监测器来处理所述的测量值,并且在所述的处理的结果满足条件时将所述的测量值发送到所述的控制器来降低从所述的硬件监测器到所述的控制器之间所述的测量值的传输所使用的总线频宽。48.如权利要求47所述的使移动装置运行的方法,其特征在于,所述的移动装置运行的方法还包含:当所述的测量值大于较高阈值或者小于较低阈值时,由所述的硬件监测器发送中断到所述的控制器,以及由所述的控制器在接收到所述的中断信号时向所述的硬件监测器请求所述的测量值。49.如权利要求47所述的使移动装置运行的方法,其特征在于,所述的移动装置运行的方法还包含:仿真所述的数据处理器中的关键路径,其中测量相关于所述的数据处理器的所述的参数的步骤包含测量相关于所述的关键路径的时间裕度。50.如权利要求47所述的使移动装置运行的方法,其特征在于,所述的移动装置运行的方法还包含:依据从查找表获取的预存电压值来控制所述的电源的所述的输出电压水平达到一电压水平,并且使用所述的自适应电压调整过程来使所述的电源的所述的输出电压水平最佳化。51.如权利要求47所述的使移动装置运行的方法,其特征在于,调整所述的电源的所述的输出电压水平的步骤包含:控制所述的电源调整所述的电源的所述的输出电压水平来降低所述的测量值与来自查找表的预存参数值之间的差别。全文摘要本发明揭示电压调整装置与方法、移动装置及其运行方法。本发明提供的电压调整装置,包含数据处理器、硬件监测器、电源和控制器。硬件监测器仿真数据处理器中的关键路径,并且测量相关于所仿真的关键路径的参数得到测量值,处理测量值并且当处理结果满足条件时产生中断信号。电源向数据处理器以及硬件监测器提供电压。控制器从硬件监测器接收中断信号,并且响应中断信号控制电源调整电源的输出电压水平。本发明揭示的电压调整装置与方法、移动装置及其运行方法能够避免在闭合环路中不需要的状况,例如持续振荡或者失控振荡。文档编号G06F1/26GK103207657SQ201210436099公开日2013年7月17日申请日期2012年11月5日优先权日2011年11月4日发明者阿南德·萨慕斯,何西·巴雷罗·达·席尔瓦,尚恩·菲次帕特里克申请人:联发科技(新加坡)私人有限公司
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1