半导体结构的形成方法与流程

文档序号:29614780发布日期:2022-04-13 11:05阅读:126来源:国知局
半导体结构的形成方法与流程

1.本发明实施例涉及半导体结构与制作制程,更特别涉及具有背侧电源轨 与背侧接点(或通孔)的半导体装置。


背景技术:

2.现有的集成电路以向上堆叠的方式建立,其具有最下层的晶体管以及内 连线(如通孔与线路)位于晶体管上,以提供连接至晶体管。电源轨(如电压源 与地面所用的金属线路)亦位于晶体管上,且可为内连线的部分。随着集成电 路的尺寸持续缩小,电源轨的尺寸亦随之缩小。这无可避免地造成电源轨压 降,并增加集成电路能耗。如此一来,需要将一些电源轨移到晶体管背侧。 考量之一为如何隔离这些背侧电源轨与晶体管的构件如金属栅极。


技术实现要素:

3.本发明一实施例关于半导体结构的形成方法,其包括:形成鳍状结构于 基板上,其中鳍状结构包括基底层、隔离层位于基底层上、以及多个通道层 与多个第一牺牲层交错的堆叠位于隔离层上。方法还包括形成隔离结构以与 鳍状结构的侧壁相邻,其中隔离结构的上表面高于隔离层的下表面并低于隔 离层的上表面。方法还包括沉积第二牺牲层于隔离结构上与鳍状结构的侧壁 上;蚀刻第二牺牲层与鳍状结构,以形成两个源极/漏极沟槽,其中源极/漏 极沟槽露出基底层;经由源极/漏极沟槽部分地移除第一牺牲层与第二牺牲层 以形成多个间隙;以及沉积介电间隔物于间隙中。
4.本发明另一实施力关于半导体结构的形成方法,其包括:形成鳍状结构 于基板上,其中鳍状结构包括基底层、第一牺牲层位于基底层上、隔离层位 于第一牺牲层上、以及通道层与第二牺牲层交错的堆叠位于隔离层上,其中 第一牺牲层的材料与基底层、隔离层、通道层、及第二牺牲层的材料不同。 方法还包括形成隔离结构以与鳍状结构的侧壁相邻,其中隔离结构的上表面 高于第一牺牲层的上表面并低于隔离层的上表面;以及沉积第三牺牲层于隔 离结构上以及鳍状结构的侧壁上,其中第三牺牲层的材料与第一牺牲层的材 料不同。方法还包括蚀刻第三牺牲层与鳍状结构以形成两个源极/漏极沟槽, 其中源极/漏极沟槽露出基底层,且第一牺牲层的一部分夹设于源极/漏极沟 槽之间。方法还包括将第一牺牲层的部分置换为介电盖。
5.本发明又一实施例关于半导体结构,其包括:源极/漏极结构;通道层, 连接至源极/漏极结构;栅极结构,与源极/漏极结构相邻并接合每一通道层; 隔离层,直接位于通道层下;接点结构,连接至源极/漏极结构,其中接点结 构的一部分直接位于隔离层下;以及隔离结构,与接点结构相邻并低于栅极 结构,其中隔离结构的上表面低于隔离层的上表面并高于隔离层的下表面。
附图说明
6.图1a及图1b是本发明多种实施例中,具有背侧电源轨与背侧通孔的半 导体装置的形成方法的流程图。
7.图2a是一些实施例中,半导体装置的部分上视图,而图2b及图2c分 别为图2a中的半导体装置沿着图2a的剖线b-b与剖线c-c的剖视图。
8.图3、图4、及图5是一些实施例中,半导体装置于制作时的部分透视 图。
9.图6a是一些实施例中,半导体装置的部分上视图,而图6b及图6c分 别为图6a中的半导体装置沿着图6a的剖线b-b与剖线c-c的剖视图。
10.图7a、图8a、及图9a是一些实施例中,半导体装置的透视图,而图 7b、图8b、及图9b分别为图7a、图8a、及图9a中的半导体装置沿着图 6a的剖线b-b的部分剖视图。
11.图10a是一些实施例中,半导体装置的部分上视图,图10b是图10a 中的半导体装置沿着图10a的剖线b-b的剖视图,而图10c及图10d分别 为图10a中的半导体装置沿着图10a的剖线c-c与剖线d-d切开前表面的 部分透视图。
12.图11是一些实施例中,半导体装置沿着图10a的剖线c-c切开前表面 的部分透视图。
13.图12a及图13a是一些实施例中,半导体装置的部分上视图,而图12b 及图13b分别为图12a及图13a中的半导体装置沿着图12a及图13a的剖 线b-b的部分剖视图。
14.图14a、图14b、图14c、图14d、图14e、及图14f是一些实施例中, 图13a中的半导体装置沿着图13a的剖线b-b的部分剖视图。
15.图15a及图15b是一些实施例中,半导体装置的透视图与放大剖视图。
16.图16是一些实施例中,半导体装置沿着图13a的剖线c-c切开前表面 的部分透视图。
17.其中,附图标记说明如下:
18.b-b,c-c,d-d:剖线
19.h1,h3,h3':厚度
20.h2,h4:距离
21.100:方法
22.102,104,106,108,110,112,114,116,118,120,122,124,126, 128:步骤 200:装置
23.201:基板
24.202:基底层
25.203,210:牺牲层
26.204:隔离层
27.204',230':上表面
28.204":下表面
29.205:半导体层堆叠
30.206,246:硬遮罩层
31.215:通道层
32.218:鳍状物
33.229:介电鳍状物
34.230:隔离结构
35.232:介电衬垫层
36.233:介电填充层
37.234:介电盖
38.235:虚置栅极介电层
39.237:硬遮罩
40.231:覆层
41.240:虚置栅极堆叠
42.240':功能栅极堆叠
43.241:图案化的光阻
44.243:介电盖层
45.245:虚置栅极层
46.247:栅极间隔物
47.250:源极/漏极沟槽
48.255:内侧间隔物
49.260:源极/漏极结构
50.272:沟槽
51.273:硅化物结构
52.274:介电衬垫层
53.275:源极/漏极接点
54.276:介电层
55.277:层状物
56.280:通孔洞
57.281:阻障层
58.282:背侧通孔
59.283:金属填充层
60.284:背侧电源轨
61.348:界面层
62.349:栅极介电层
63.350:栅极
64.370:载板
具体实施方式
65.下述详细描述可搭配图式说明,以利理解本发明的各方面。值得注意的 是,各种结构仅用于说明目的而未按比例绘制,如本业常态。实际上为了清 楚说明,可任意增加或减少各种结构的尺寸。
66.下述内容提供的不同实施例或实例可实施本发明的不同结构。下述特定 构件与排列的实施例是用以简化本发明内容而非局限本发明。举例来说,形 成第一构件于第二构
件上的叙述包含两者直接接触的实施例,或两者之间隔 有其他额外构件而非直接接触的实施例。此外,本发明的多个实例可重复采 用相同标号以求简洁,但多种实施例及/或设置中具有相同标号的元件并不必 然具有相同的对应关系。
67.此外,空间性的相对用语如“下方”、“其下”、“较下方”、“上方”、
ꢀ“
较上方”、或类似用语可用于简化说明某一元件与另一元件在图示中的相 对关系。空间性的相对用语可延伸至以其他方向使用的元件,而非局限于图 示方向。元件亦可转动90
°
或其他角度,因此方向性用语仅用以说明图示中 的方向。此外,当数值或数值范围的描述有“约”、“近似”、或类似用语 时,除非特别说明否则其包含所述数值的+/-10%。举例来说,用语“约5nm
”ꢀ
包含的尺寸范围为4.5nm至5.5nm、4.0nm至5.0nm、或类似范围。
68.本发明实施例一般关于半导体结构与制作制程,且更特别关于具有背侧 电源轨与背侧接点(或通孔)的半导体装置。如上所述,需要进一步改善集成 电路中的电源轨,以促进效能以及降低能耗。本发明实施例的目的包含提供 电源轨(或电源线路)于含有晶体管的结构(如全绕式栅极晶体管)的背侧上,并 提供内连线结构(其亦可包含电源轨)于结构的前侧上。这可增加结构中直接 连接至源极/漏极接点与通孔的可行金属线路数目。此亦增加栅极密度,使装 置的集成程度大于无背侧电源轨的现有结构。背侧电源轨的尺寸可大于结构 前侧上的第一层金属线路(如第零金属层),其有利于降低电源轨的电阻。本 发明实施例亦提供结构与方法以隔离背侧通孔与背侧电源轨以及附近的导 体如金属栅极。本发明实施例的结构与制作方法的细节,将搭配附图说明如 下,其显示一些实施例中制造全绕式栅极装置的制程。全绕式栅极装置指的 是具有垂直堆叠的水平取向的多通道晶体管的装置,比如纳米线晶体管或纳 米片晶体管。全绕式栅极装置具有较佳的栅极控制能力、较低的漏电流、以 及与鳍状场效晶体管装置布局的相容性,因此可为将互补式金属氧化物半导 体装置带入下一阶段的有力候选者。本技术领域中具有通常知识者应理解以 本发明实施例为基础,可设计或调整其他制程与结构以执行本发明实施例的 相同目的及/或达到相同优点。
69.图1a及图1b是本发明多种实施例中,制作半导体装置的方法100的流 程图。本发明实施例可实施额外制程。在方法100之前、之中、与之后可提 供额外步骤,且方法100的额外实施例可调换、取代、或省略一些所述步骤。
70.方法100将搭配图2a至图16说明如下,其显示一些实施例中半导体的 装置200(或半导体的结构)于方法100的多种制作步骤的上视图、剖视图、 或透视图。在一些实施例中,装置200为集成电路芯片的一部分或者单芯片 系统或其部分,其可包含多种被动与主动微电子装置如电阻、电容器、电感、 二极管、p型场效晶体管、n型场效晶体管、鳍状场效晶体管、纳米片场效 晶体管、纳米线场效晶体管、其他种类的多栅极场效晶体管、金属氧化物半 导体场效晶体管、互补式金属氧化物半导体晶体管、双极性接面晶体管、横 向扩散金属氧化物半导体晶体管、高电压晶体管、高频晶体管、存储器装置、 其他合适构件、或上述的组合。已简化图2a至图16以求图式清楚而有利于 理解本发明实施例的发明概念。可添加额外结构至装置200,且装置200的 其他实施例可置换、调整、或省略一些下述结构。
71.方法100的步骤102(图1a)形成鳍状物218于基板201上,如图2a、 图2b、及图2c所示的实施例。如图2a至图2c所示的此实施例,每一鳍 状物218包括基底层202、牺牲层203、隔离层204、牺牲层210、与通道层 215的堆叠。具体而言,本发明实施例的基底层202自基板
201延伸。牺牲 层203位于基底层202上。如下所述,后续制作步骤中的牺牲层203将置换 成介电盖。因此本发明实施例的牺牲层203亦可视作牺牲鳍状物层。在一些 实施例中,鳍状物218中省略牺牲层203。在所述实施例中,隔离层204位 于牺牲层203上。如下所述,隔离层204可隔离金属栅极与背侧通孔。因此 本发明实施例的隔离层204可视作隔离鳍状物层。在鳍状物218省略牺牲层 203的实施例中,隔离层204直接位于基底层202上。牺牲层210与通道层 215包括半导体材料,且彼此交错堆叠以形成半导体层堆叠205。半导体层 堆叠205位于隔离层204上。如下所述,后续制作步骤移除牺牲层210以形 成悬空的通道层215。因此本发明实施例的牺牲层210可视作牺牲鳍状物层。 在多种实施例中,鳍状物218可包含图2b及图2c未图示的其他层。图2b 及图2c更显示硬遮罩层206位于鳍状物218上。鳍状物218与硬遮罩层206 位于基板201的前侧上。在本发明实施例中,鳍状物218位于装置200的前 侧,而与前侧相对的一侧可视作装置200的背侧。装置200的多种构件将说 明如下。
72.在一实施例中,基板201为基体硅基板(比如包含基体单晶硅)。在多种 实施例中,基板201可包含其他半导体材料,比如锗、碳化硅、砷化镓、磷 化镓、磷化铟、砷化铟、锑化铟、硅锗、磷砷化镓、砷化铝铟、砷化铝镓、 砷化镓铟、磷化镓铟、磷砷化镓铟、或上述的组合。在其他实施例中,基板 201为绝缘层上半导体基板,比如绝缘层上硅基板、绝缘层上硅锗基板、或 绝缘层上锗基板。绝缘层上半导体基板的制作方法可采用分离布植氧、晶圆 接合、及/或其他合适方法。
73.在一些实施例中,基底层202包括的材料与基板201相同。举例来说, 基底层202包括硅,而基板201包括基体硅或绝缘层上硅。牺牲层203包括 的材料与基底层202、隔离层204、牺牲层210、与通道层215中的材料不同, 以达牺牲层203置换为介电盖的后续制作步骤中的蚀刻选择性,举例来说, 基底层202、隔离层204、与通道层215可包含硅,而牺牲层203及210可 包含不同锗原子%的硅锗,以达所需的蚀刻选择性。举例来说,牺牲层203 可包含锗原子%为5%至15%的硅锗,而牺牲层210可包含锗原子%为35% 至55%的硅锗。在多种实施例中,牺牲层203可包含硅、硅锗、锗、或其他 合适的半导体。此外,一些实施例中的牺牲层203沿着z方向的厚度h3可 为0nm(比如省略牺牲层203)至约30nm。
74.在多种实施例中,隔离层204包括未掺杂的硅、其他未掺杂的半导体、 或介电材料如具有硅、氧、与氮的介电材料(如氮氧化硅)以作为两个导体之 间的绝缘体。此外,一些实施例中的隔离层204沿着z方向的厚度为约8nm 至约40nm,比如约10nm至约20nm。如下所述,厚度h1为决定背侧通孔 与金属栅极之间的距离的因素之一,其将影响装置200的时间相关的介电崩 溃效能。因此隔离层204通常需要较大的厚度。在一些例子中,若隔离层204 过薄(比如小于8nm),则装置200的时间相关的介电崩溃效能可能劣化。然 而在一些例子中,若隔离层204过厚(比如大于40nm),则背侧通孔可能又 长又窄,其会增加源极/漏极接点电阻。
75.半导体层堆叠205包括交错设置的垂直(如沿着z方向)堆叠的半导体层 如牺牲层210与半导体层如通道层215。在一些实施例中,以交错设置的方 式外延成长半导体层如牺牲层210与半导体层如通道层215。外延成长半导 体层如牺牲层210与半导体层如通道层215的方法可为分子束外延制程、化 学气相沉积制程、有机金属化学气相沉积制程、其他合适的外延成长制程、 或上述的组合。半导体层如牺牲层210的组成与半导体层如通道层215的组 成不同,以达后续制程时的蚀刻选择性及/或不同的氧化速率。举例来说,半 导体层
如牺牲层210与半导体层如通道层215可包含不同的材料、组成原子 %、组成重量%、厚度、及/或特性,以达所需的蚀刻选择性及/或不同的氧化 速率。举例来说,一实施例的半导体层如牺牲层210包括硅锗,而半导体层 如通道层215包括硅。在一些实施例中,半导体层如牺牲层210与半导体层 如通道层215可包括相同材料但不同的组成原子%,以达蚀刻选择性及/或不 同的氧化速率。举例来说,半导体层如牺牲层210与半导体层如通道层215 可包硅锗,其中半导体层如牺牲层210具有第一硅原子%及/或第一锗原子%, 而半导体层如通道层215具有不同的第二硅原子%及/或不同的第二锗原子 %。本发明实施例实施的半导体层如牺牲层210与半导体层如通道层215包 含任何半导体材料的组合,其可提供所需的蚀刻选择性、所需的氧化速率差 异、及/或所需的效能特性(如最大化电流的材料),且包含此处所述的任何半 导体材料。
76.如下所述,半导体层如通道层215或其部分可形成装置200的通道区。 在所述实施例中,半导体堆叠205包括三个半导体层如牺牲层210与三个半 导体层如通道层215。在进行后续制程之后,此设置会造成装置200具有三 个通道。然而本发明实施例所实施的半导体层堆叠205可包含更多或更少的 半导体层,端视装置200所需的通道数目而定。举例来说,半导体层堆叠205 可包含两个至十个半导体层如牺牲层210,与两个至十个半导体层如通道层 215。
77.如图2a所示,鳍状物218的长度方向沿着x方向。鳍状物218的图案 化方法可为任何合适方法。举例来说,可采用一或多道光微影制程图案化鳍 状物218,包括双重图案化或多重图案化制程。一般而言,双重图案化或多 重图案化制程结合光微影与自对准制程,其产生的图案间距小于采用单一的 直接光微影制程所得的图案间距。举例来说,一实施例形成牺牲层于半导体 层堆叠205上,并采用光微影制程图案化牺牲层。采用自对准制程以沿着图 案化的牺牲层侧部形成间隔物。接着移除牺牲层,而保留的间隔物或芯之后 可作为硬遮罩层206以图案化鳍状物218。举例来说,硬遮罩层206可用于 蚀刻凹陷至通道层215、牺牲层210、隔离层204、牺牲层203、与基底层202 中,以保留鳍状物218于基板201上。蚀刻制程可包含干蚀刻、湿蚀刻、反 应性离子蚀刻、及/或其他合适制程。举例来说,干蚀刻制程可实施含氧气体、 含氟气体(如四氟化碳、六氟化硫、二氟甲烷、氟仿、及/或六氟乙烷)、含氯 气体(如氯气、氯仿、四氯化碳、及/或三氯化硼)、含溴气体(如溴化氢及/或 溴仿)、含碘气体、其他合适气体及/或等离子体、及/或上述的组合。举例来 说,湿蚀刻制程可包含稀释氢氟酸,氢氧化钾溶液,氨,含氢氟酸、硝酸、 及/或乙酸的溶液,或其他合适的湿蚀刻剂。多种其他实施例形成鳍状物218 的方法亦适用。
78.方法100的步骤104(图1a)形成隔离结构230于基板201上以隔离鳍状 物218,如图3所示的实施例。如图3所示,隔离结构230围绕鳍状物218 的底部,使鳍状物218彼此分开与隔离。隔离结构230可包含氧化硅、氮化 硅、氮氧化硅、其他合适的隔离材料(比如含硅、氧、氮、碳、或其他合适隔 离组成)、或上述的组合。隔离结构230可包含不同结构,比如浅沟槽隔离结 构及/或深沟槽隔离结构。在一些实施例中,隔离结构230包括多层结构,比 如具有氧化物衬垫层于鳍状物218的侧壁上与基板201的上表面上,并具有 氮化硅层位于氧化物衬垫层。在一实施例中,隔离结构230的形成方法可为 将绝缘材料填入鳍状物218之间的沟槽(比如采用化学气相沉积制程或旋转 涂布玻璃制程),进行化学机械研磨制程以移除多余绝缘材料及/或平坦化绝 缘材料层的上表面,以及回蚀刻绝缘材料以形成隔离结构230。
79.在此实施例中,可控制回蚀刻绝缘材料的步骤,使隔离结构230的上表 面230'低于隔离层204的上表面204',并高于隔离层204的下表面204"。具 体而言,隔离结构230的上表面230'比隔离层204的下表面204"高出垂直的 距离h2。如下所述,距离h2为背侧通孔与金属栅极之间的距离的决定因素 之一,其影响装置200的时间相关的介电崩溃效能。因此距离h2需要大到 足以符合时间相关的介电崩溃设计目标。在一些实施例中,控制距离h2为 约8nm至约20nm。在一些例子中,若距离h2过小(比如小于8nm),则装 置200的时间相关的介电崩溃效能可能劣化。在一些例子中,若距离h2过 大(如大于20nm),则背侧通孔可能又长又窄,其会增加源极/漏极的接点电 阻。为了达到所需的距离h2,隔离层204的厚度h1需设计为大于距离h2, 以适应形成隔离结构230时的任何制程变数。举例来说,在回蚀刻隔离结构 230时,需要控制蚀刻深度(比如由计时器或其他机构控制)以达上述的所需 深度。晶圆的不同区域或者不同的晶圆之间的蚀刻深度可能变化。隔离层204 的厚度h1设计为足够大(比如约8nm至40nm如上述)以适应这些变化,并 使距离h2在上述的所需范围内(如约8nm至约20nm)。
80.方法100的步骤106形成覆层231于鳍状物218的侧壁上与隔离结构230 上,如图4所示的实施例。在此实施例中,后续制作步骤可移除覆层231。 因此覆层231亦为牺牲层。在一实施例中,覆层231包括半导体材料,其与 半导体层如牺牲层210中包含的材料相同或实质上相同。举例来说,覆层231 与半导体层如牺牲层210中的材料可实质上相同或类似,因此可由相同的蚀 刻剂以大致相同的蚀刻速率移除。此外,覆层231与牺牲层203中的材料不 同以达蚀刻选择性。在一实施例中,半导体层如牺牲层210与覆层231可包 含大致相同锗原子%的硅锗,而牺牲层203可包含锗原子%远低于牺牲层210 与覆层231中的锗原子%的硅锗。举例来说,一些实施例中的半导体层如牺 牲层210与覆层231可包含锗原子%为约35%至55%的硅锗,而牺牲层203 可包含锗原子%为约5%至15%的硅锗。覆层231的沉积方法可采用化学气 相沉积、物理气相沉积、原子层沉积、高密度等离子体化学气相沉积、有机 金属化学气相沉积、远端等离子体化学气相沉积、等离子体辅助化学气相沉 积、低压化学气相沉积、原子层化学气相沉积、常压化学气相沉积、其他合 适方法、或上述的组合。在一实施例中,步骤106先沉积覆层231于鳍状物 218的顶部与侧壁以及隔离结构230的上表面上,接着采用等离子体干蚀刻 制程回蚀刻覆层231,以自隔离结构230上移除覆层231的部分。
81.方法100的步骤108(图1a)可形成介电鳍状物229于隔离结构230上并 与覆层231相邻。如图5所示的所述实施例中,介电鳍状物229包括介电衬 垫层232与介电填充层233。介电衬垫层232位于覆层231的侧壁上与隔离 结构230的上表面上,而介电填充层233位于介电衬垫层232上并填入鳍状 物218之间的间隙。在一实施例中,介电衬垫层232包括低介电常数的介电 材料,比如含硅、氧、氮、与碳的介电材料。例示性的低介电常数的介电材 料包括氟硅酸盐玻璃、掺杂碳的氧化硅、black(美国加州santaclara的applied materials)、干凝胶、气胶、非晶氟化碳、聚对二甲苯、苯并 环丁烯、silk(美国密西根州midland的dow chemical)、聚西亚胺、或上述 的组合。低介电常数的介电材料通常指的是介电常数低于氧化硅的介电常数 (约3.9)的介电材料。介电衬垫层232的沉积方法可采用化学气相沉积、物理 气相沉积、原子层沉积、高密度等离子体化学气相沉积、有机金属化学气相 沉积、远端等离子体化学气相沉积、等离子体辅助化学气相沉积、低压化学 气
相沉积、原子层化学气相沉积、常压化学气相沉积、其他合适方法、或上 述的组合。在一实施例中,介电填充层233包括氧化硅、氮化硅、氮氧化硅、 四乙氧基硅烷的氧化物、磷硅酸盐玻璃、硼磷硅酸盐玻璃、低介电常数的介 电材料、其他合适的介电材料、或上述的组合。介电填充层233的沉积方法 可采用可流动的化学气相沉积制程,其包含沉积可流动的材料(如液体化合物) 于装置200上,并以合适技术如热退火及/或紫外线处理使可流动的材料转换 成固体材料。介电填充层233的沉积方法亦可采用其他种类的方法。在沉积 介电衬垫层232与介电填充层233之后,步骤106可进行化学机械研磨制程 以平坦化装置200的上表面并露出覆层231。
82.介电鳍状物229可进一步包含介电盖234,如图6c所示。在一实施例中, 介电盖234包括高介电常数的介电材料,比如氧化铪、氧化铪硅、硅酸铪、 但氧化铪硅、氧化铪镧、氧化铪钽、氧化铪钛、氧化铪锆、氧化铪铝、氧化 锆、二氧化锆、氧化锆硅、氧化铝、氧化铝硅、三氧化二铝、氧化钛、二氧 化钛、氧化镧、氧化镧硅、三氧化二钽、五氧化二钽、氧化钇、钛酸锶、氧 化钡锆、钛酸钡、钛酸钡锶、氮化硅、氧化铪-氧化铝合金、其他合适的高介 电常数的介电材料、或上述的组合。高介电常数的材料通常指的是介电常数 大于氧化硅的介电常数(约3.9)的介电材料。介电盖234的形成方法可为此处 所述的任何制程,比如原子层沉积、化学气相沉积、物理气相沉积、氧化为 主的沉积制程、其他合适制程、或上述的组合。在一实施例中,步骤108包 括采用选择性蚀刻制程以蚀刻介电衬垫层232与介电填充层233,使介电衬 垫层232与介电填充层233凹陷。步骤108接着沉积一或多种介电材料至凹 陷中,并对一或多种介电材料进行化学机械研磨制程以形成介电盖234。在 形成介电鳍状物229的步骤之中或之后,可移除硬遮罩层206(图2b及2c)。
83.方法100的步骤110(图1a)形成虚置(或牺牲)栅极堆叠240于鳍状物218 上。如图6a所示,虚置栅极堆叠240的长度方向垂直于鳍状物218。虚置 栅极堆叠240包括虚置栅极介电层235、虚置栅极层245、与一或多个硬遮 罩层246。在此实施例中,之后的制作步骤可将虚置栅极堆叠240置换为功 能栅极堆叠240'。在一些实施例中,虚置栅极介电层235包括介电材料如氧 化硅、高介电常数的介电材料、其他合适的介电材料、或上述的组合,虚置 栅极层245包括多晶硅或其他合适材料,而一或多个硬遮罩层246包括氧化 硅、氮化硅、或其他合适材料。虚置栅极堆叠240的形成方法可为沉积制程、 微影制程、蚀刻制程、其他合适制程、或上述的组合。举例来说,虚置栅极 介电层235、虚置栅极层245、与硬遮罩层246的沉积方法可采用化学气相 沉积、物理气相沉积、原子层沉积、或其他合适方法。接着进行微影图案化 与蚀刻制程,以图案化虚置栅极介电层235、虚置栅极层245、与硬遮罩层 246而形成虚置栅极堆叠240,如图6a至图6c所示。微影图案化制程包括 涂布光阻(如旋转涂布)、软烘烤、对准光罩、曝光、曝光后烘烤、显影光阻、 冲洗、干燥(如硬烘烤)、其他合适的微影制程、或上述的组合。蚀刻制程包 括干蚀刻制程、湿蚀刻制程、其他蚀刻方法、或上述的组合。
84.在此实施例中,步骤110更形成栅极间隔物247于虚置栅极堆叠240的 侧壁上,如图6b所示。栅极间隔物247的形成方法可为任何合适制程,且 可包含介电材料。介电材料可包含硅、氧、碳、氮、其他合适材料、或上述 的组合(如氧化硅、氮化硅、氮氧化硅、碳化硅、碳氮化硅、碳氧化硅、或碳 氮氧化硅)。举例来说,介电层包含氮化硅如氮化硅层,其可沉积于虚置栅极 堆叠240上,且之后可蚀刻介电层以形成栅极间隔物247(如非等向蚀刻)。 在一
些实施例中,栅极间隔物247包括多层结构,比如含氮化硅的第一介电 层与含氧化硅的第二介电层。在一些实施例中,超过一组间隔物(如密封间隔 物、补偿间隔物、牺牲间隔物、虚置间隔物、及/或主要间隔物)与虚置栅极 堆叠240相邻。在这些实施方式中,多组间隔物可包含不同蚀刻速率的材料。 举例来说,可沉积并蚀刻含硅与氧(如氧化硅)的第一介电层以形成第一组间 隔物而与虚置栅极堆叠240相邻,且可沉积并蚀刻含硅与氮(如氮化硅)的第 二介电层以形成第二组间隔物而与第一组间隔物相邻。
85.方法100的步骤112(图1a)蚀刻与栅极间隔物247相邻的覆层231与鳍 状物218,以形成源极/漏极沟槽250。一实施例的最终结构如图7a及图7b 所示。在所述实施例中,蚀刻制程完全蚀穿鳍状物218的源极/漏极区中的覆 层231、半导体层堆叠205、隔离层204、与牺牲层203,以露出源极/漏极区 中的基底层202。在一些实施例中,可部分蚀刻基底层202。蚀刻制程可包 含干蚀刻制程、湿蚀刻制程、其他合适的蚀刻制程、或上述的组合。在一些 实施例中,蚀刻制程为多步骤蚀刻制程。举例来说,蚀刻制程可改变蚀刻剂 以在一系列的蚀刻步骤中分开移除牺牲层210、通道层215、隔离层204、与 牺牲层203。在一实施例中,覆层231与半导体层如牺牲层210包括大致相 同的材料,因此设计为蚀刻半导体层如牺牲层210的蚀刻剂亦蚀刻覆层231。 在一些实施例中,设置蚀刻制程的参数以选择性蚀刻鳍状物218与覆层231 的多种层状物,并最小化地蚀刻(或不蚀刻)虚置栅极堆叠240、栅极间隔物 247、介电鳍状物229、与隔离结构230。在这些实施例中,源极/漏极沟槽 250设置于虚置栅极堆叠240、栅极间隔物247、介电鳍状物229、与隔离结 构230所定义的空间中。在一些实施例中,进行此处所述的微影制程以形成 图案化的遮罩层而覆盖虚置栅极堆叠240及/或隔离结构230,且蚀刻制程采 用图案化的遮罩层作为蚀刻遮罩。
86.方法100的步骤114(图1b)使源极/漏极沟槽250中露出的覆层231与半 导体层如牺牲层210部分凹陷以形成间隙,接着形成内侧间隔物255于这些 间隙中,如图8a及图8b所示。举例来说,进行第一蚀刻制程以选择性蚀刻 源极/漏极沟槽250所露出的覆层231与半导体层如牺牲层210,并最小化地 蚀刻(或不蚀刻)半导体层如通道层215、隔离层204、牺牲层203、基底层202、 介电鳍状物229、与隔离结构230,以形成间隙于栅极间隔物247之下的相 邻的半导体层如通道层215之间以及最底部的半导体层如通道层215与隔离 层204之间。半导体层如通道层215的部分(边缘)因此悬空于栅极间隔物247 之下的通道区中。在一些实施例中,间隙部分地延伸于虚置栅极堆叠240之 下。第一蚀刻制程设置以横向蚀刻(比如沿着x方向)覆层231与半导体层如 牺牲层210,进而减少覆层231与半导体层如牺牲层210沿着x方向的长度。 第一蚀刻制程为干蚀刻制程、湿蚀刻制程、其他合适蚀刻制程、或上述的组 合。举例来说,第一蚀刻制程可施加氟为主的干蚀刻制程,其可调整以对覆 层231与半导体层如牺牲层210中的材料具有选择性。接着以沉积制程形成 间隔物层于虚置栅极堆叠240上与定义源极/漏极沟槽250的结构上,比如化 学气相沉积、物理气相沉积、原子层沉积、高密度等离子体化学气相沉积、 有机金属化学气相沉积、远端等离子体化学气相沉积、等离子体辅助化学气 相沉积、低压化学气相沉积、原子层化学气相沉积、常压化学气相沉积、其 他合适方法、或上述的组合。间隔物层可部分(一些实施例为完全)填入源极/ 漏极沟槽250。沉积制程设置以确保间隔物层可填入相邻的半导体层如通道 层215之间的间隙,以及最底部的半导体层如通道层215与隔离层204之间 的间隙。接着进行第二蚀刻制程以选择性地蚀刻间隔物层而形成图8a及图 8b所示的内侧间隔物255,并最小化地蚀刻(或
不蚀刻)半导体层如通道层 215、虚置栅极堆叠240、栅极间隔物247、隔离层204、牺牲层203、基底 层202、介电鳍状物229、与隔离结构230。在一些实施例中,自栅极间隔物 247的侧壁与半导体层如通道层215的侧壁移除间隔物层。间隔物层(与内侧 间隔物255)包括的材料不同于通道层215、栅极间隔物247、隔离层204、牺 牲层203、基底层202、介电鳍状物229、与隔离结构230的材料,以在第二 蚀刻制程时达到所需的蚀刻选择性。在一些实施例中,内侧间隔物255包括 的介电材料含硅、氧、碳、氮、其他合适材料、或上述的组合(比如氧化硅、 氮化硅、氮氧化硅、碳化硅、或碳氮氧化硅)。在一些实施例中,内侧间隔物 255包括此处所述的低介电常数的介电材料。
87.方法100的步骤116(图1b)将牺牲层203置换成介电盖层243,如图9a 及图9b所示。这关于多种蚀刻与沉积制程。举例来说,可进行第一蚀刻制 程以完全移除两个源极/漏极沟槽250之间的牺牲层203,并最小化地蚀刻(或 不蚀刻)源极/漏极沟槽250所露出的多种构件如栅极间隔物247、虚置栅极堆 叠240、半导体层如通道层215、隔离层204、内侧间隔物255、基底层202、 介电鳍状物229的外侧层、与隔离结构230。在完成第一蚀刻制程之后,形 成间隙于隔离层204与基底层202之间,且间隙连接源极/漏极沟槽250。第 一蚀刻制程为干蚀刻制程、湿蚀刻制程、其他合适的蚀刻制程、或上述的组 合。在一实施例中,牺牲层203包括低锗原子%(如5%至15%)的硅锗,而 第一蚀刻制程可施加氟为主的干蚀刻制程,其调整以对牺牲层203中的材料 具有选择性。在一实施例中,牺牲层203、覆层231、与牺牲层210均包含 硅锗但锗原子%不同,而蚀刻牺牲层203的制程与蚀刻覆层231与牺牲层210 的制程均可为氟为主的等离子体蚀刻,但具有不同的蚀刻参数(如蚀刻气体物 种、流速、及/或其他参数),使蚀刻制程对个别层状物具有选择性。在移除 牺牲层203之后,接着沉积一或多种介电材料以填入隔离层204与基底层202 之间的间隙,且沉积方法可采用化学气相沉积、物理气相沉积、原子层沉积、 高密度等离子体化学气相沉积、有机金属化学气相沉积、远端等离子体化学 气相沉积、等离子体辅助化学气相沉积、低压化学气相沉积、原子层化学气 相沉积、常压化学气相沉积、其他合适方法、或上述的组合。沉积制程设置 以确保一或多种介电材料可填入隔离层204与基底层202之间的间隙。接着 进行第二蚀刻制程以自源极/漏极沟槽250选择性蚀刻一或多种介电材料,并 最小化地蚀刻(或不蚀刻)源极/漏极沟槽250所露出的构件如内侧间隔物255、 虚置栅极堆叠240、栅极间隔物247、通道层215、介电鳍状物229、隔离层 204、基底层202、与隔离结构230。一或多种介电材料的保留部分转变成介 电盖层243,如图9a及图9b所示。介电盖层243包括的材料不同于源极/ 漏极沟槽250所露出的构件如内侧间隔物255、虚置栅极堆叠240、栅极间 隔物247、通道层215、介电鳍状物229、隔离层204、基底层202、与隔离 结构230的材料,以达第二蚀刻制程时所需的蚀刻选择性。在一些实施例中, 介电盖层243包括介电材料,其包含硅、氧、碳、氮、其他合适材料、或上 述的组合(比如氧化硅、氮化硅、氮氧化硅、碳化硅、或碳氮氧化硅)。在一 些实施例中,介电盖层243包括高介电常数的介电材料,比如氧化铪、氧化 铪硅、硅酸铪、氮氧化铪硅、氧化铪镧、氧化铪钽、氧化铪钛、氧化铪锆、 氧化铪铝、氧化锆、二氧化锆、氧化锆硅、氧化铝、氧化铝硅、三氧化二铝、 氧化钛、二氧化钛、氧化镧、氧化镧硅、三氧化二钽、五氧化二钽、钛酸锶、 氧化钡锆、钛酸钡、钛酸钡锶、氮化硅、氧化铪-氧化铝合金、其他合适的高 介电常数的介电材料、或上述的组合。
88.方法100的步骤118(图1b)外延成长半导体的源极/漏极结构260于源极 /漏极沟
槽250中。在一实施例中,最终结构如图10a至图10d所示。图10a 显示装置200的上视图,而图10b显示装置200沿着图10a的剖线b-b的 剖视图。图10c及图10d显示装置200的透视图,其前表面分别沿着图10a 中的剖线c-c(栅极区)与剖线d-d(源极/漏极区)。
89.如图10b、图10c、及图10d所示,自源极/漏极沟槽250的底部的半导 体层如基底层202以及源极/漏极沟槽250的侧壁的半导体层如通道层215, 成长外延的源极/漏极结构260。在隔离层204包含半导体材料的实施例中, 亦可自隔离层204成长外延的源极/漏极结构260。外延制程可采用化学气相 沉积技术(比如气相外延及/或超高真空化学气相沉积)、分子束外延、其他合 适的外延成长制程、或上述的组合。外延制程可采用气相及/或液相前驱物, 其可与半导体层如基底层202与半导体层如通道层215(特别是半导体层如 通道层215)的组成作用,并视情况与隔离层204的组成作用。外延的源极/ 漏极结构260可掺杂n型掺质或p型掺质,以分别用于n型晶体管或p型晶 体管。在一些实施例中,对n型晶体管而言,外延的源极/漏极结构260包括 硅,其可掺杂碳、磷、砷、其他n型掺质、或上述的组合(比如形成碳化硅的 外延的源极/漏极结构、磷化硅的外延的源极/漏极结构、或碳磷化硅的外延 的源极/漏极结构)。在一些实施例中,对p型晶体管而言,外延的源极/漏极 结构260包括硅锗或锗,其可掺杂硼、其他p型掺质、或上述的组合(比如形 成硼化硅锗的外延的源极/漏极结构)。在一些实施例中,外延的源极/漏极结 构260包括多个外延半导体层,其中外延半导体层可包含相同或不同的材料 及/或掺质浓度。在一些实施例中,外延的源极/漏极结构260包括的材料及/ 或掺质可达个别通道区中所需的拉伸应力及/或压缩应力。在一些实施例中, 在沉积时添加杂质至外延制程的源材料,以原位掺杂外延的源极/漏极结构 260。在一些实施例中,在沉积制程之后以离子布植制程掺杂外延的源极/漏 极结构260。在一些实施例中,进行退火制程(如快速热退火及/或激光退火) 以活化外延的源极/漏极结构260中的掺质。在一些实施例中,以分开的制程 顺序形成外延的源极/漏极结构260,其包括在形成外延的源极/漏极结构于n 型全绕式栅极晶体管区中时,遮罩p型全绕式栅极晶体管区;以及在形成外 延的源极/漏极结构于p型全绕式栅极晶体管区中时,遮罩n型全绕式栅极晶 体管区。此外,在图10c及图10d所示的此实施例中,源极/漏极结构260 为棒状且完全填入源极/漏极沟槽250,以增加源极/漏极结构260的体积并减 少源极/漏极电阻。在形成源极/漏极结构260之后,步骤118可沉积接点蚀 刻停止层(未图示)于源极/漏极结构260与栅极间隔物247的表面上,并沉积 层间介电层(未图示)于接点蚀刻停止层、栅极间隔物247、与虚置栅极堆叠 240上。在一实施例中,接点蚀刻停止层可包含氮化硅,而层间介电层可包 含氧化硅。步骤118接着可进行化学机械研磨制程,以平坦化接点蚀刻停止 层与层间介电层,并露出虚置栅极堆叠240的顶层,使其准备好进行步骤120 所述的栅极置换制程。
90.方法100的步骤120(图1b)将虚置栅极堆叠240置换成功能栅极堆叠 240'(如高介电常数的介电层与金属栅极)。在一实施例中,此步骤所形成的 结构如图11所示。功能栅极堆叠240'的后续说明如图12b所示。这关于多 种制程,如下所述。
91.首先,步骤120采用一或多道蚀刻制程移除虚置栅极堆叠240(虚置栅极 层245与虚置栅极介电层235,见图6b)。这可形成栅极沟槽于源极/漏极结 构260之间。蚀刻制程可为干蚀刻制程、湿蚀刻制程、其他合适的蚀刻制程、 或上述的组合。在一些实施例中,蚀刻制程为多步骤的蚀刻制程。举例来说, 蚀刻制程可改变蚀刻剂,以分开移除虚置栅极堆叠240的多种层状物。在一 些实施例中,蚀刻制程设置以选择性蚀刻虚置栅极堆叠240,而最
小化地蚀 刻(或不蚀刻)装置200的其他结构如层间介电层、栅极间隔物247、隔离结 构230、半导体层如通道层215、覆层231、半导体层如牺牲层210、与隔离 层204。
92.步骤120接着移除栅极沟槽中露出的覆层231与半导体层如牺牲层210, 并保留半导体层如通道层215悬空于隔离层204上并连接源极/漏极结构 260。此制程亦可视作通道释放制程。蚀刻制程可选择性蚀刻覆层231与半 导体层如牺牲层210,且最小化地蚀刻(或不蚀刻)半导体层如通道层215、隔 离层204、栅极间隔物247、内侧间隔物255、隔离结构230、与介电鳍状物 229的外侧层。值得注意的是,隔离层204与隔离结构230可保护介电盖层 243(若存在)免于此蚀刻制程。
93.步骤120接着形成栅极介电层349以包覆每一半导体层如通道层215, 并形成栅极350于栅极介电层349上。功函数栅极堆叠240'包括栅极介电层 349与栅极350。栅极介电层349可包含高介电常数的介电材料,比如氧化 铪、氧化铪硅、硅酸铪、氮氧化铪硅、氧化铪镧、氧化铪钽、氧化铪钛、氧 化铪锆、氧化铪铝、氧化锆、二氧化锆、氧化锆硅、氧化铝、氧化铝硅、三 氧化二铝、氧化钛、二氧化钛、氧化镧、氧化镧硅、三氧化二钽、五氧化二 钽、氧化钇、钛酸锶、氧化锆钡、钛酸钡、钛酸钡锶、氮化硅、氧化铪-氧化 铝合金、其他合适的高介电常数的介电材料、或上述的组合。栅极介电层349 的形成方法可为化学氧化、热氧化、原子层沉积、化学气相沉积、及/或其他 合适方法。在一些实施例中,功能栅极堆叠240'更包含界面层于栅极介电层 349与通道层215之间。界面层可包含氧化硅、氮氧化硅、或其他合适材料。 在一些实施例中,栅极350包含n型或p型的功函数层与金属填充层。举例 来说,n型功函数层包含的金属可具有足够低的有效功函数,比如钛、铝、 碳化钽、碳氮化钽、氮化钽硅、或上述的组合。举例来说,p型功函数层包 括的金属可具有足够大的有效功函数,比如氮化钛、氮化钽、钌、钼、钨、 铂、或上述的组合。举例来说,金属填充层可包含铝、钨、钴、铜、及/或其 他合适材料。栅极350的形成方法可为化学气相沉积、物理气相沉积、电镀、 及/或其他合适制程。由于功能栅极堆叠240'包括高介电常数的介电层与金属 层,亦可视作高介电常数的介电层与金属栅极。
94.方法100的步骤122(图1b)进行中段制程与后段制程。在一实施例中, 最终结构如图12a及图12b所示。举例来说,步骤122蚀刻源极/漏极接点 洞以露出一些源极/漏极结构260。在一些实施例中,可部分蚀刻源极/漏极结 构260。蚀刻制程可为干蚀刻、湿蚀刻、反应性离子蚀刻、或其他蚀刻方法。 步骤122接着形成硅化物结构273于源极/漏极结构260,并形成源极/漏极接 点(或通孔)275于硅化物结构273上。由于硅化物结构273与源极/漏极接点 275形成于装置200的前侧,其可分别视作前侧硅化物结构与前侧源极/漏极 接点。
95.硅化物结构273可包含钛硅化物、镍硅化物、钨硅化物、镍铂硅化物、 镍铂锗硅化物、镍锗硅化物、镱硅化物、铂硅化物、铱硅化物、铒硅化物、 钴硅化物、或其他合适化合物。在一实施例中,源极/漏极接点275可包含导 电阻障层,与金属填充层位于导电阻障层上。导电阻障层可包含钛、钽、钨、 钴、钌、或导电氮化物(如氮化钛、氮化钛铝、氮化钨、或氮化钽)、或上述 的组合,且其形成方法可为化学气相沉积、物理气相沉积、原子层沉积、及 /或其他合适制程。金属填充层可包含钨、钴、钼、钌、或其他金属,且其形 成方法可为化学气相沉积、物理气相沉积、原子层沉积、电镀、或其他合适 制程。在一些实施例中,源极/漏极接点275中可省略导电阻障层。
96.步骤122亦可形成栅极通孔以连接至功能栅极堆叠240',形成源极/漏极 接点通
孔以连接至源极/漏极接点275,并形成一或多个内连线层(具有线路与 通孔埋置于介电层中)。一或多个内连线层可连接多种晶体管的栅极、源极、 与漏极,以及装置200中的其他电路,以形成部分或全部的集成电路。步骤 122亦可形成钝化层于内连线层上。在图12b所示的例子中,层状物277用 于标示多种介电层与金属层,其包含形成于装置200的前侧的源极/漏极接点 275上的内连线层与钝化层。
97.方法100的步骤124(图1b)上下翻转装置200,并将装置200的前侧贴 合至载板370,如图13b所示。图13a显示装置200的上视图,而图13b显 示装置200沿着图13a中的剖线b-b的部分剖视图。在图13b中,-z方向 指的是自装置200的前侧至装置200的后侧的方向。目前可对装置200的背 侧进行后续制程。步骤124可采用任何合适的贴合制程,比如直接接合、混 合接合、采用粘合剂、或其他接合方法。步骤124可进一步包含对准、退火、 及/或其他制程。在一些实施例中,载板370可为硅晶圆。
98.方法100的步骤126(图1b)形成背侧通孔282以连接至源极/漏极结构 260,如图15a及图15b所示。图15a显示装置200的透视图,其前表面(在 yz平面中)沿着图13a的剖线c-c。图15b显示图15a的结构沿着图13a的 剖线b-b的剖视图。在所述实施例中,源极/漏极结构260之一者电性连接 至背侧通孔282,而介电衬垫层274与介电层276覆盖其他相邻的源极/漏极 结构260。在一些实施例中,硅化物结构(未图示)位于源极/漏极结构260与 背侧通孔282之间。步骤126关于多种制程,如下述的一实施例。
99.在一实施例中,步骤126可先自装置200的背侧向下薄化基板201,直 到自装置200的背侧露出基底层202与隔离结构230。此如图14a所示。薄 化制程可包含机械研磨制程及/或化学薄化制程。在机械研磨制程时,可先自 基板201移除适当量的基板材料。化学薄化制程之后可施加蚀刻化学剂至基 板201的背侧,以进一步向下薄化基板201。
100.在薄化基板201之后,步骤126可形成含硬遮罩237(如氮化硅)与图案 化的光阻241(其可包含多层)的蚀刻遮罩。蚀刻遮罩覆盖源极/漏极结构260 的背侧上将连接至背侧通孔282的区域,并露出源极/漏极结构260的背侧上 不连接至背侧通孔282的区域,如图14b所示。
101.步骤126接着经由蚀刻遮罩蚀刻基底层202与源极/漏极结构260以形成 沟槽272,如图14c所示。举例来说,步骤126可施加蚀刻制程,其调整以 对基底层202的材料具有选择性,而不蚀刻(或最小化地蚀刻)介电盖层243 (若存在)、隔离层204(若介电盖层243不存在)、与隔离结构230。介电盖层 243的厚度h3'可实质上等于牺牲层203的厚度h3(图2b)。在一些实施例中, 可部分蚀刻介电盖层243,使其厚度h3'小于牺牲层203的厚度h3(图2b)。 蚀刻制程可为干蚀刻、湿蚀刻、反应性离子蚀刻、或其他蚀刻方法。蚀刻制 程可部分地蚀刻源极/漏极结构260。隔离层204或隔离层204与介电盖层243 可保护功能栅极堆叠240'免于此蚀刻制程。
102.步骤126接着移除图案化的光阻241并形成介电衬垫层274与介电层 276以填入沟槽272,如图14d所示。在一些实施例中,介电衬垫层274可 包含氧化镧、氧化铝、碳氮氧化硅、碳氧化硅、碳氮化硅、氧化硅、碳化硅、 氧化锌、氮化锆、氧化锆铝、氧化钛、氧化钽、氧化锆、氧化铪、氮化硅、 氧化钇、氮氧化铝、碳氮化钽、锆硅化物、或其他合适材料,且其形成方法 可为化学气相沉积、物理气相沉积、原子层沉积、或其他合适方法。在一些 实施例中,介电层276可包含四乙氧基硅烷的氧化物、未掺杂的硅酸盐玻璃、 掺杂氧化硅(如硼磷硅酸盐
玻璃、氟硅酸盐玻璃、磷硅酸盐玻璃、或硼硅酸盐 玻璃)、及/或其他合适的介电材料。介电层276的形成方法可为等离子体辅 助化学气相沉积、可流动的化学气相沉积、或其他合适方法。步骤126可进 行化学机械研磨制程以平坦化介电衬垫层274与介电层276,并移除硬遮罩 237。
103.步骤126接着蚀刻基底层202以形成通孔洞280(或接点洞),其露出源 极/漏极结构260的背侧,如图14e所示。在一些实施例中,调整蚀刻制程以 对基底层202具有选择性,而不蚀刻(或最小化地蚀刻)介电层276、介电衬 垫层274、介电盖层243(若存在)、隔离层204(若不存在介电盖层243)、与 隔离结构230的材料。因此介电盖层243的厚度h3'可实质上等于牺牲层203 的厚度h3(图2b)。一些实施例可部分蚀刻介电盖层243,使其厚度h3'小于 牺牲层203的厚度h3(图2b)。
104.步骤126之后可视情况形成硅化物结构与背侧通孔282于通孔洞280中, 如图14f所示。硅化物结构可包含钛硅化物、镍硅化物、钨硅化物、镍铂硅 化物、镍铂锗硅化物、镍锗硅化物、镱硅化物、铂硅化物、铱硅化物、铒硅 化物、钴硅化物、或其他合适化合物。在一实施例中,背侧通孔282可包含 阻障层281与金属填充层283位于阻障层281上(见图15b)。阻障层281可 包含钛、钽、钨、钴、钌、或导电氮化物(如氮化钛、氮化钛铝、氮化钨、或 氮化钽)、或上述的组合,且其形成方法可为化学气相沉积、物理气相沉积、 原子层沉积、及/或其他合适制程。金属填充层283可包含钨、钴、钼、钌、 铝、或其他金属,且其形成方法可为化学气相沉积、物理气相沉积、原子层 沉积、电镀、或其他合适制程。一些实施例可省略阻障层281。
105.如图15b所示,金属的功能栅极堆叠240'(包含栅极350、栅极介电层 349、与视情况形成的界面层348)与背侧通孔282之间充分地隔离有隔离层 204与介电盖层243(若存在)。金属的功能栅极堆叠240'的下表面与背侧通孔 282的上表面之间的垂直的距离h4,设计为足够大到用于装置200的时间相 关的介电崩溃效能的目标。在此实施例中,距离h4等于厚度h3'与距离h2 的总和。距离h2如图3所示的上述内容。举例来说,一些实施例中的距离 h2控制为约8nm至约20nm,以改善时间相关的介电崩溃效能,并维持背 侧通孔282的良好效能。在一些例子中,若距离h2过小(如小于8nm),则 装置200的时间相关的介电崩溃效能可能劣化。举例来说,金属元素可能自 金属的功能栅极堆叠240'与背侧通孔282迁移穿过隔离结构230、介电盖层 243、及/或隔离层204,并在一段时间后造成短路故障。然而若一些实施例 的距离h2过大(比如大于20nm),则背侧通孔282可能又长又窄(见图15b 左侧,背侧通孔282的一部分延伸穿过介电盖层243与隔离层204),其会增 加源极/漏极的接点电阻。在一些实施例中,当距离h2设置为大到足以用于 改善时间相关的介电崩溃效能时,可省略介电盖层243(以及牺牲层203,见 图2b及图2c)。隔离层204的厚度h1其设计以搭配图2b及图3说明如上。
106.方法100的步骤128(图1b)对装置200进行后续制作。举例来说,步骤 128形成背侧电源轨284,如图16所示。在图16中,背侧通孔282电性连 接至背侧电源轨284。在一实施例中,背侧电源轨284的形成方法可采用镶 嵌制程、双镶嵌制程、金属图案化制程、或其他合适制程。背侧电源轨284 可包含钨、钴、钼、钌、铜、铝、钛、钽、或其他金属,且其沉积方法可为 化学气相沉积、物理气相沉积、原子层沉积、电镀、或其他合适制程。虽然 未图示于图16中,背侧电源轨284埋置于一或多个介电层中。步骤128可 进一步形成背侧内连线结构(未
图示)于背侧电源轨284之下,且背侧内连线 结构包括线路与通孔埋置于一或多个介电层中。在一些实施例中,背侧电源 轨284可视作背侧内连线结构的部分。背侧电源轨284有利于增加装置200 中直接连接至源极/漏极结构与金属栅极堆叠的可行金属线路数目。此亦增加 栅极密度,使装置的集成程度大于无背侧电源轨284的其他结构。背侧电源 轨284的尺寸大于装置200的前侧上的第一层金属线路(如第零层金属层)的 尺寸,其有利于减少背侧电源轨的电阻。
107.本发明实施例提供一或多个下述优点,但不局限于此。举例来说,本发 明实施例提供背侧电源轨与背侧通孔以进一步增加装置的集成密度,并进一 步降低电源轨相关的功率下降与能耗。本发明实施例可提供良好隔离于金属 栅极与背侧通孔之间,以改善时间相关的介电崩溃效应。本发明实施例易于 整合至现有的半导体制造制程中。
108.本发明一实施例关于半导体结构的形成方法,其包括:形成鳍状结构于 基板上,其中鳍状结构包括基底层、隔离层位于基底层上、以及多个通道层 与多个第一牺牲层交错的堆叠位于隔离层上。方法还包括形成隔离结构以与 鳍状结构的侧壁相邻,其中隔离结构的上表面高于隔离层的下表面并低于隔 离层的上表面。方法还包括沉积第二牺牲层于隔离结构上与鳍状结构的侧壁 上;蚀刻第二牺牲层与鳍状结构,以形成两个源极/漏极沟槽,其中源极/漏 极沟槽露出基底层;经由源极/漏极沟槽部分地移除第一牺牲层与第二牺牲层 以形成多个间隙;以及沉积介电间隔物于间隙中。
109.一些实施例在沉积介电间隔物之后,方法还包括外延成长源极/漏极结构 于源极/漏极沟槽中。在一实施例中,隔离层包括硅或介电材料。在另一实施 例中,隔离层的厚度为约8nm至约40nm。在一实施例中,隔离结构的上表 面比隔离层的下表面高出约8nm至约20nm的距离。
110.在另一实施例中,鳍状结构还包括第三牺牲层于基底层与隔离层之间。 第三牺牲层的材料与基底层、隔离层、通道层、第一牺牲层、及第二牺牲层 的材料不同。在其他实施例中,蚀刻第二牺牲层与鳍状结构使第三牺牲层的 一部分夹设于源极/漏极沟槽之间,且方法还包括:以第一等离子体蚀刻制程 移除第三牺牲层的部分,造成空间垂直地位于基底层与隔离层之间;以及沉 积介电材料以填入空间。在另一实施例中,部分移除第一牺牲层与第二牺牲 层的步骤包括施加等离子体蚀刻制程,其调整为蚀刻第一牺牲层与第二牺牲 层而不蚀刻第三牺牲层。在又一实施例中,第一牺牲层、第二牺牲层、与第 三牺牲层的每一者包括硅锗,且第三牺牲层的锗原子%小于第一牺牲层与第 二牺牲层的锗原子%。
111.本发明另一实施力关于半导体结构的形成方法,其包括:形成鳍状结构 于基板上,其中鳍状结构包括基底层、第一牺牲层位于基底层上、隔离层位 于第一牺牲层上、以及通道层与第二牺牲层交错的堆叠位于隔离层上,其中 第一牺牲层的材料与基底层、隔离层、通道层、及第二牺牲层的材料不同。 方法还包括形成隔离结构以与鳍状结构的侧壁相邻,其中隔离结构的上表面 高于第一牺牲层的上表面并低于隔离层的上表面;以及沉积第三牺牲层于隔 离结构上以及鳍状结构的侧壁上,其中第三牺牲层的材料与第一牺牲层的材 料不同。方法还包括蚀刻第三牺牲层与鳍状结构以形成两个源极/漏极沟槽, 其中源极/漏极沟槽露出基底层,且第一牺牲层的一部分夹设于源极/漏极沟 槽之间。方法还包括将第一牺牲层的部分置换为介电盖。
112.一实施例在置换步骤之前,方法还包括:经由源极/漏极沟槽部分移除第 二牺牲
层与第三牺牲层以形成间隙;以及沉积介电间隔物于间隙中。在另一 实施例中,置换步骤包括:以第一等离子体蚀刻制程移除第一牺牲层,造成 空间垂直地位于基底层与隔离层之间;以及沉积介电材料以填入空间。在另 一实施例中,部分移除第二牺牲层与第三牺牲层的步骤包括施加第二等离子 体蚀刻制程,其调整为蚀刻第二牺牲层与第三牺牲层而不蚀刻第一牺牲层。 在其他实施例中,第一等离子体蚀刻制程与第二等离子体蚀刻制程施加氟为 主的等离子体。
113.在方法的另一实施例中,隔离层包括硅或介电材料。另一实施例在置换 步骤之后的方法还包括:外延成长源极/漏极结构于源极/漏极沟槽中;以及 形成接点结构以连接至源极/漏极结构,其中接点结构的一部分位于隔离层 下。
114.本发明又一实施例关于半导体结构,其包括:源极/漏极结构;通道层, 连接至源极/漏极结构;栅极结构,与源极/漏极结构相邻并接合每一通道层; 隔离层,直接位于通道层下;接点结构,连接至源极/漏极结构,其中接点结 构的一部分直接位于隔离层下;以及隔离结构,与接点结构相邻并低于栅极 结构,其中隔离结构的上表面低于隔离层的上表面并高于隔离层的下表面。
115.在一实施例中,隔离层包括硅或介电材料。在另一实施例中,隔离层的 厚度为约8nm至约40nm。在又一实施例中,半导体结构还包括介电盖于隔 离层与接点结构之间。
116.上述实施例的特征有利于本技术领域中具有通常知识者理解本发明。本 技术领域中具有通常知识者应理解可采用本发明作基础,设计并变化其他制 程与结构以完成上述实施例的相同目的及/或相同优点。本技术领域中具有通 常知识者亦应理解,这些等效置换并未脱离本发明精神与范畴,并可在未脱 离本发明的精神与范畴的前提下进行改变、替换、或更动。
当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1