一种高速数据采集卡的制作方法

文档序号:8360539阅读:230来源:国知局
一种高速数据采集卡的制作方法
【技术领域】
[0001]本发明涉及现代电子技术领域,具体涉及一种高速数据采集卡。
【背景技术】
[0002]测试设备是二期系统中最主要的子系统之一,它的工作正常与否直接影响到整个武器系统的作战性能,因此研制出体积小、结构紧凑、便携式的测试装备就成为主要的目标,常规的采集方案主要由两种:
(O由单片机直接控制的采集方案,这是最简单最常用的控制方案,由于每次采样都要有单片机的参加,需真用单片机的时间,影响其数据处理,而且对于多通道、多个A/D转换器的控制,因所需处理的信息更多,则更加不方便。
[0003](2)由DMA控制的采集方案,此方案硬件电路复杂,若与单片机配合使用,需要单片机具有总线挂起功能,否则还需要进行总线切换,影响数据的及时处理。

【发明内容】

[0004]为了解决上述问题,本发明旨在提出一种高速数据采集卡。
[0005]本发明的技术方案在于:
一种高速数据采集卡,信号调理电路、多路选择开关、以及A/D转换器顺次连接,时钟电路、CPLD控制电路以及FIFO缓存器顺次连接;其中,CPLD控制电路还与A/D转换器以及多路选择开关分别连接;FIF0缓存器还与A/D转换器相连。
[0006]优选地,所述的高速数据采集卡采用+3V模拟电源和+3V数字电源。
[0007]优选地,所述的A/D转换器选用高速8位模/数转换器AD9283,其中集成高性能采样和保持放大器,输入信号采用单输入或差分输入。
[0008]优选地,所述的CPLD控制电路采用EPM7128SLC84-6CPLD作为核心处理芯片,其工作电压为+5V,并设有JTAG接口。
[0009]或者优选地,所述的FIFO缓存器采用高速、低功耗、先入先出存储芯片CY7C4261,其容量为16kX9位,读写周期10ns。
[0010]或者优选地,所述多路选择开关选用八选一多路选择开关ADG508A。
[0011]或者优选地,所述高速数据采集卡采样频率为10MHz。
[0012]本发明的技术效果在于:
本发明采用CPLD和FIFO器件提供一种高速数据采集卡,该高速数据采集卡将大部分控制逻辑被集成到CPLD芯片中,大大减小了扩展卡上的器件数量,同时降低了系统成本,提高了系统的可靠性,该高速数据采集卡还能搭建该密度、小体积的便携式测试设备,可广泛应用于武器控制系统的测试等多种场合,对控制系统的模拟量信号进行快速、实时的数据采集和分析。
【附图说明】
[0013]图1为本发明一种高速数据采集卡的系统连接图。
【具体实施方式】
[0014]一种高速数据采集卡,信号调理电路、多路选择开关、以及A/D转换器顺次连接,时钟电路、CPLD控制电路以及FIFO缓存器顺次连接;其中,CPLD控制电路还与A/D转换器以及多路选择开关分别连接;FIF0缓存器还与A/D转换器相连。
[0015]其中,高速数据采集卡采用+3V模拟电源和+3V数字电源。A/D转换器选用高速8位模/数转换器AD9283,其中集成高性能采样和保持放大器,输入信号采用单输入或差分输入。CPLD控制电路采用EPM7128SLC84-6CPLD作为核心处理芯片,其工作电压为+5V,并设有JTAG接口。FIFO缓存器采用高速、低功耗、先入先出存储芯片CY7C4261,其容量为16kX9位,读写周期10ns。多路选择开关选用八选一多路选择开关ADG508A。高速数据采集卡采样频率为10MHz。
【主权项】
1.一种高速数据采集卡,其特征在于:信号调理电路、多路选择开关、以及A/D转换器顺次连接,时钟电路、CPLD控制电路以及FIFO缓存器顺次连接;其中,CPLD控制电路还与A/D转换器以及多路选择开关分别连接;FIF0缓存器还与A/D转换器相连。
2.如权利要求1一种高速数据采集卡,其特征在于:所述的高速数据采集卡采用+3V模拟电源和+3V数字电源。
3.如权利要求1一种高速数据采集卡,其特征在于:所述的A/D转换器选用高速8位模/数转换器AD9283,其中集成高性能采样和保持放大器,输入信号采用单输入或差分输入。
4.如权利要求1一种高速数据采集卡,其特征在于:所述的CPLD控制电路采用EPM7128SLC84-6CPLD作为核心处理芯片,其工作电压为+5V,并设有JTAG接口。
5.如权利要求1一种高速数据采集卡,其特征在于:所述的FIFO缓存器采用高速、低功耗、先入先出存储芯片CY7C4261,其容量为16kX9位,读写周期10ns。
6.如权利要求1一种高速数据采集卡,其特征在于:所述多路选择开关选用八选一多路选择开关ADG508A。
7.如权利要求1一种高速数据采集卡,其特征在于:所述高速数据采集卡采样频率为10MHz。
【专利摘要】本发明涉及现代电子技术领域,具体涉及一种高速数据采集卡。一种高速数据采集卡,信号调理电路、多路选择开关、以及A/D转换器顺次连接,时钟电路、CPLD控制电路以及FIFO缓存器顺次连接;其中,CPLD控制电路还与A/D转换器以及多路选择开关分别连接;FIFO缓存器还与A/D转换器相连。本发明采用CPLD和FIFO器件提供一种高速数据采集卡,该高速数据采集卡将大部分控制逻辑被集成到CPLD芯片中,大大减小了扩展卡上的器件数量,同时降低了系统成本,提高了系统的可靠性,该高速数据采集卡还能搭建该密度、小体积的便携式测试设备,可广泛应用于武器控制系统的测试等多种场合,对控制系统的模拟量信号进行快速、实时的数据采集和分析。
【IPC分类】G05B19-042
【公开号】CN104678836
【申请号】CN201410689787
【发明人】鲁建业
【申请人】鲁建业
【公开日】2015年6月3日
【申请日】2014年11月26日
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1