用气相注入敏化剂对EUV干式抗蚀剂进行敏化的装置和工艺的制作方法

文档序号:32336577发布日期:2022-11-26 04:17阅读:82来源:国知局
用气相注入敏化剂对EUV干式抗蚀剂进行敏化的装置和工艺的制作方法
用气相注入敏化剂对euv干式抗蚀剂进行敏化的装置和工艺
通过引用并入
1.pct申请表作为本技术的一部分与本说明书同时提交。如在同时提交的pct申请表中所标识的本技术要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
技术领域
2.本公开涉及具有敏化抗蚀剂膜的堆叠件,以及用于涂敷这样的敏化膜的方法及装置。在特定实施方案中,敏化剂可以气体形式提供,且未反应的敏化剂前体可在沉积步骤之后回收。


背景技术:

3.这里提供的背景描述是为了总体呈现本技术的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本技术的现有技术。
4.在半导体制造中,半导体处理中的薄膜图案化通常是重要的步骤。图案化涉及光刻。在常规的光刻技术(例如,193nm光刻)中,图案是通过以下方式印刷的:从光子源发射光子至掩模上并且将图案印刷至光敏感的光致抗蚀剂上,由此在光致抗蚀剂中引起化学反应,其在显影后去除光致抗蚀剂的某些部分以形成图案。
5.先进技术节点(如国际半导体技术发展蓝图(international technology roadmap for semiconductors)所定义的)包括22nm、16nm以及其它的节点。在16nm节点中,例如,在镶嵌结构中的典型的通孔或线的宽度通常不大于约30nm。先进半导体集成电路(ic)及其它装置上的特征的缩放正驱动光刻技术以改善分辨率。
6.极紫外光(euv)光刻可通过移动至比用常规的光刻方法所能实现的更小的成像源波长而扩展光刻技术。大约10-20nm、或11-14nm波长(例如13.5nm波长)的euv光源可用于尖端光刻工具(也称为扫描机)。euv辐射在许多固体与流体材料(包括石英与水蒸气)中被强吸收,因此在真空中进行操作。


技术实现要素:

7.本发明涉及设置于堆叠件内的敏化膜的用途。在一实例中,敏化膜采用与euv敏化剂组合的euv抗蚀剂材料。尽管euv抗蚀剂材料可包括一种或更多euv敏感材料(例如,本文所述的任一者),但euv敏化剂可包括一种或更多具有增强euv吸收的化合物,因而在euv辐射时提供额外的有利光电子。以此方式,相比于用于不含euv敏化剂的堆叠件的剂量,可减少膜的euv剂量。
8.在一些实施方案中,euv敏化剂包括一种或更多吸收euv的化合物,因而在euv辐射时提供有利的光电子,其可注入至膜中以提供进一步的euv介导的裂解事件。以此方式,相比于用于不含euv敏化剂的堆叠件的剂量,可减少膜的euv剂量。
9.因此,在第一方面中,本发明以堆叠件为特征,其包括:具有顶表面的半导体衬底;以及设置在半导体衬底的顶表面上的敏化膜。在一些实施方案中,该膜包括euv抗蚀剂材料,其中euv敏化剂分散于整个膜中。在特定实施方案中,euv敏化剂是或包括碘或氙。在其他实施方案中,euv敏化剂为i2、hi或包含有碘取代的烷基的有机金属前体、或其前体、以及本文所述的任何前体。在一些实施方案中,euv敏化剂为risn(nr2)3,其中ri为包括一个或更多碘的任选地经取代的烷基,且每一r独立为烷基。在其他实施方案中,euv敏化剂为
133
xe、
136
xe、
129
xe或这些同位素中的任何一些的组合。
10.在第二方面中,本发明以堆叠件为特征,其包括:具有顶表面的半导体衬底;以及设置在半导体衬底的顶表面上的敏化膜,其中该膜包括euv抗蚀剂材料以及包含有氙的euv敏化剂。在一些实施方案中,euv敏化剂为
133
xe、
136
xe、
129
xe或这些同位素中的任何一些的组合。
11.在第三方面中,本发明以形成敏化膜的方法为特征,该方法包括:在室内提供半导体衬底;以及输送euv抗蚀剂材料或其前体及euv敏化剂至该室。在一些实施方案中,该方法由此在半导体衬底的顶表面上形成敏化膜。
12.在一些实施方案中,euv抗蚀剂材料(或其前体)以及euv敏化剂可以任何顺序依次输送。在其他实施方案中,euv抗蚀剂材料(或其前体)和euv敏化剂同时输送。在其他实施方案中,euv抗蚀剂材料(或其前体)以及euv敏化剂以气体形式提供。
13.在其他实施方案中,euv敏化剂被提供作为包含有euv抗蚀剂材料或其前体的蒸气的推动气体。
14.在一些实施方案中,输送步骤进一步包括:输送一种或更多逆反应物至该室。在其他实施方案中,euv敏化剂被提供作为一种或更多逆反应物的推动气体。
15.在一些实施方案中,euv敏化剂被提供作为euv抗蚀剂材料或其前体的起泡气体。在其他实施方案中,euv敏化剂被提供作为输送至该室的稀释剂。
16.在第四方面中,本发明以形成敏化膜的方法为特征,该方法包括:在半导体衬底的顶表面上沉积膜,其中该膜包括euv抗蚀剂材料;以及在该室中且在气体形式的euv敏化剂存在下加热该膜(例如,因而在半导体衬底的顶表面上形成敏化膜)。在特定实施方案中,敏化膜包括euv抗蚀剂材料和euv敏化剂。
17.在一些实施方案中,沉积步骤包括热原子层沉积、旋涂沉积、电子束蒸镀或其组合。
18.在第五方面中,本发明以形成敏化膜的方法为特征,该方法包括:提供包含至少一定残留量的euv敏化剂的室;在该室内提供半导体衬底;以及输送euv抗蚀剂材料或其前体至该室(例如,因而在半导体衬底的顶表面上形成敏化膜)。在一些实施方案中,敏化膜包括euv抗蚀剂材料及euv敏化剂。
19.在第六方面中,本发明以用于沉积敏化膜的装置为特征,该装置包括:沉积模块,其包括用于将euv抗蚀剂材料和euv敏化剂沉积为敏化膜的室,其中euv抗蚀剂材料或其前体以及euv敏化剂是以气体形式提供。在一些实施方案中,该装置还包括:图案化模块,其包括具有小于30nm波长辐射源的euv光刻工具;和/或显影模块,其包括用于对该敏化膜显影的室。
20.在其他实施方案中,该装置可以包括控制器,其具有一个或更多存储器设备、一个
或更多处理器、以及编码有用于执行膜沉积的指令的系统控制软件。在一些实施方案中,指令包括用于以下(例如,在沉积模块中)操作的指令:使敏化膜沉积在半导体衬底的顶表面上,其中敏化膜包括euv抗蚀剂材料和euv敏化剂。在其他实施方案中,指令包括(例如,在图案化模块中):在真空环境中直接通过波长范围约10nm至约20nm的euv暴露以小于30nm的分辨率对该敏化膜进行图案化(例如,因而在敏化膜内形成图案)。在还有的其他实施方案中,指令包括(例如,在显影模块中):使敏化膜显影以在敏化膜内提供该图案。
21.在一些实施方案中,根据指令,euv抗蚀剂材料或其前体和euv敏化剂可以任何顺序依次输送或同时输送。
22.在其他实施方案中,根据指令,euv敏化剂被提供作为euv抗蚀剂材料或其前体的推动气体。在还有的其他实施方案中,指令还包括(例如,在沉积模块中):将一种或更多逆反应物(counter-reactants)输送至室,其中euv敏化剂被提供作为一种或更多逆反应物的推动气体。在一些实施方案中,根据指令,euv敏化剂被提供作为包含有euv抗蚀剂材料或其前体的蒸气的起泡气体。在进一步的实施方案中,根据指令,euv敏化剂被提供作为输送至沉积模块中的室的稀释剂。
23.在第七方面中,本发明以用于沉积敏化膜的装置为特征,该装置包括:沉积模块,包括加热器以及用于将euv抗蚀剂材料沉积为膜的室。在一些实施方案中,该装置还包括:图案化模块,其包括具有小于30nm波长辐射源的euv光刻工具;显影模块,其包括用于对敏化膜显影的室;和/或控制器,其包括一个或更多存储器设备、一个或更多处理器、以及编码有用于执行膜沉积指令的系统控制软件。
24.在一些实施方案中,指令包括用于以下(例如,在沉积模块中)的指令:使膜沉积在半导体衬底的顶表面上,并且在气体形式的euv敏化剂存在下利用加热器来加热该膜,例如,因而在半导体衬底的顶表面上形成敏化膜。在一些实施方案中,敏化膜包括euv抗蚀剂材料和euv敏化剂。
25.在其他实施方案中,指令包括(例如,在图案化模块中):在真空环境中直接通过波长范围约10nm至约20nm的euv暴露以小于30nm的分辨率对敏化膜进行图案化,因而在敏化膜内形成图案。在还有的其他实施方案中,指令包括(例如,在显影模块中):使敏化膜显影以在敏化膜内提供该图案。
26.在第八方面中,本发明以用于沉积敏化膜的装置为特征,该装置包括:沉积模块,其包括用于将euv抗蚀剂材料沉积为膜的室,其中该室包括至少一定残留量的euv敏化剂。在一些实施方案中,该装置可进一步包括:图案化模块,其包括具有小于30nm波长辐射源的euv光刻工具;显影模块,其包括用于对敏化膜显影的室;及/或控制器,其包括一个或更多存储器设备、一个或更多处理器、以及编码有用于执行膜沉积指令的系统控制软件。
27.在一些实施方案中,指令包括(例如,在沉积模块中):使敏化膜沉积在半导体衬底的顶表面上,其中敏化膜包括euv抗蚀剂材料及euv敏化剂。在其他实施方案中,指令包括(例如,在图案化模块中):在真空环境中直接通过波长范围约10nm至约20nm的euv暴露以小于30nm的分辨率对敏化膜进行图案化,因而在敏化膜内形成图案。在还有的其他实施方案中,指令包括(例如,在显影模块中):使敏化膜显影以在敏化膜内提供该图案。
28.在本文的任何实施方案中,euv暴露可具有13.5nm的波长。
29.在本文的任何实施方案中,装置可包括具有捕集器的回收模块,其中回收模块可
流体连接至沉积模块。在一些实施方案中,该装置可以包括指令,其又可进一步包括(例如,在回收模块中):致使捕获沉积模块的室内以气体形式存在的euv敏化剂。
30.在本文的任何实施方案中,euv抗蚀剂材料包括有机金属材料(例如,本文所述的任一者)。在特定实施方案中,有机金属材料包括锡。在其他实施方案中,有机金属材料进一步包括任选地与二烷基氨基组合的经碘取代的烷基(例如,-nr2,其中每一r可独立为经取代的烷基,如本文所述)。
31.在本文的任何实施方案中,euv抗蚀剂材料或膜可包括本文所述的任何euv敏感材料。在特定实施方案中,该材料或膜包括锡、其合金、其氧化物或其络合氧化物。
32.在本文的任何实施方案中,euv敏化剂可以蒸气形式提供。
33.在本文的任何实施方案中,euv敏化剂包括或可以是氙或碘。在一些实施方案中,euv敏化剂可为i2、hi或包含有碘取代的烷基的有机金属前体(例如,本文所述的任一者)。在其他实施方案中,euv敏化剂可以是
133
xe、
136
xe、
129
xe或这些同位素中的任何一些的组合。
34.在本文的任何实施方案中,逆反应物是含氧逆反应物,例如o2、o3、水、过氧化物(例如,过氧化氢)、氧等离子体、水等离子体、醇、二羟基醇、多羟基醇、氟代二羟基醇、氟代多羟基醇、氟代二醇、甲酸及其他羟基部分的来源、以及其组合。额外的逆反应物可包括本文所述的任一者。
35.在本文的任何实施方案中,敏化膜包括干式沉积抗蚀剂或旋涂抗蚀剂。
36.在本文的任何实施方案中,该方法进一步包括(例如,在输送步骤之后):在真空环境中通过波长范围约10nm至约20nm的euv暴露对敏化膜进行图案化。在一些实施方案中,相比于不含该euv敏化剂的对照膜,euv暴露在敏化膜内产生额外的一级光电子和/或二级光电子。
37.在本文的任何实施方案中,该方法可包括(例如,在输送步骤之后和/或在图案化步骤之前,如果存在的话):捕获室内以气体形式存在的euv敏化剂。在一些实施方案中,捕获步骤包括采用冷捕集器以回收气体形式的euv敏化剂。定义
38.如本文可互换使用的“酰氧基”或“烷酰氧基”是指通过氧基连接至母分子基团的如本文所定义的酰基或烷酰基。在特定实施方案中,烷酰氧基为-o-c(o)-ak,其中ak为如本文所定义的烷基。在一些实施方案中,未经取代的烷酰氧基为c
2-7
烷酰氧基。示例性烷酰氧基包括乙酰氧基。
[0039]“烯基”是指具有一个或更多双键的任选地经取代的c
2-24
烷基。烯基可以是环状(例如,c
3-24
环烯基)或非环状。烯基也可以是经取代或未经取代的。例如,烯基可经一个或更多取代基取代,如本文对烷基所述的。非限制性的未经取代的烯基包括丙烯基和乙烯基。
[0040]“亚烯基”是指烯基(其为具有一个或更多双键的任选地经取代的c
2-24
烷基)的多价(例如,二价)形式。亚烯基可为环状(例如,c
3-24
环亚烯基)或非环状。亚烯基可以是经取代或未经取代的。例如,亚烯基可经一个或更多取代基取代,如本文对烷基所述的。示例性的非限制性亚烯基包括-ch=ch-或-ch=chch
2-。
[0041]“烷氧基”是指-or,其中r为任选地经取代的烷基,如本文所述的。示例性烷氧基包括甲氧基、乙氧基、丁氧基、三卤代烷氧基,例如三氟甲氧基等。烷氧基可以是经取代或未经取代的。例如,烷氧基可经一个或更多取代基取代,如本文对烷基所述的。示例性的未经取
代的烷氧基包括c
1-3
、c
1-6
、c
1-12
、c
1-16
、c
1-18
、c
1-20
或c
1-24
烷氧基。
[0042]“烷基”和前缀“烷”是指具有1至24个碳原子的支链或非支链饱和烃基,例如甲基(me)、乙基(et)、正丙基(n-pr)、异丙基(i-pr)、环丙基、正丁基(n-bu)、异丁基(i-bu)、仲丁基(s-bu)、叔丁基(t-bu)、环丁基、正戊基、异戊基、仲戊基、新戊基、己基、庚基、辛基、壬基、癸基、十二烷基、十四烷基、十六烷基、二十烷基、二十四烷基及类似物。烷基可以是环状(例如,c
3-24
环烷基)或非环状。烷基可以是支链或非支链。烷基也可以是经取代或未经取代的。例如,烷基可以包括卤代烷基,其中烷基经一个或更多卤素基取代,如本文所述的。在另一示例中,烷基可经一、两、三、或四个(在具有两个或更多碳的烷基的示例中)取代基取代,取代基独立选自由以下项所组成的群组:(1)c
1-6
烷氧基(例如,-o-ak,其中ak为任选地经取代的c
1-6
烷基);(2)氨基(例如,-nr
n1rn2
,其中r
n1
和r
n2
中的每一者独立为h或任选地经取代的烷基,或r
n1
与r
n2
连同各自连接的氮原子一起形成杂环基);(3)芳基;(4)芳烷氧基(例如,-o-lk-ar,其中lk为任选地经取代的烷基的二价形式,且ar为任选地经取代的芳基);(5)芳酰基(例如,-c(o)-ar,其中ar为任选地经取代的芳基);(6)氰基(例如,-cn);(7)羧醛基(例如,-c(o)h);(8)羧基(例如,-co2h);(9)c
3-8
环烷基(例如,一价饱和或未饱和的非芳香族环状c
3-8
烃基);(10)卤素(例如,f、cl、br或i);(11)杂环基(例如,含有一、两、三或四个非碳杂原子(例如,氮、氧、磷、硫或卤素)的5、6或7元环,除非另有说明);(12)杂环氧基(例如,-o-het,其中het为杂环基,如本文所述);(13)杂环酰基(例如,-c(o)-het,其中het为杂环基,如本文所述的);(14)羟基(例如,-oh);(15)n-经保护胺基;(16)硝基(例如,-no2);(17)氧代基(例如,=o);(18)-co2ra,其中ra选自由(a)c
1-6
烷基、(b)c
4-18
芳基、以及(c)(c
4-18
芳基)c
1-6
烷基(例如,-lk-ar,其中lk为任选地经取代的烷基的二价形式,且ar为任选地经取代的芳基)所组成的群组;(19)-c(o)nrbrc,其中rb和rc中的每一者独立地选自由(a)氢、(b)c
1-6
烷基、(c)c
4-18
芳基、以及(d)(c
4-18
芳基)c
1-6
烷基(例如,-lk-ar,其中lk为任选地经取代的烷基的二价形式,并且ar为任选地经取代的芳基)所组成的群组;以及(20)-nrgrh,其中rg和rh中的每一者独立地选自由(a)氢、(b)n-保护基、(c)c
1-6
烷基、(d)c
2-6
烯基(例如,具有一个或更多双键的任选地经取代的烷基)、(e)c
2-6
炔基(例如,具有一个或更多三键的任选地经取代的烷基)、(f)c
4-18
芳基、(g)(c
4-18
芳基)c
1-6
烷基(例如,lk-ar,其中lk为任选地经取代的烷基的二价形式,ar为任选地经取代的芳基)、(h)c
3-8
环烷基、以及(i)(c
3-8
环烷基)c
1-6
烷基(例如,-lk-cy,其中lk为任选地经取代的烷基的二价形式,且cy为任选地经取代的环烷基,如本文所述的)所组成的群组,其中在一实施方案中,未有两个基团通过羰基键合至氮原子。烷基可以是经一个或更多取代基(例如,一个或更多卤素或烷氧基)取代的伯、仲或叔烷基。在一些实施方案中,未经取代的烷基为c
1-3
、c
1-6
、c
1-12
、c
1-16
、c
1-18
、c
1-20
或c
1-24
烷基。
[0043]“亚烷基”是指烷基的多价(例如,二价)形式,如本文所述。示例性亚烷基包括亚甲基、亚乙基、亚丙基、亚丁基等。在一些实施方案中,亚烷基为c
1-3
、c
1-6
、c
1-12
、c
1-16
、c
1-18
、c
1-20
、c
1-24
、c
2-3
、c
2-6
、c
2-12
、c
2-16
、c
2-18
、c
2-20
或c
2-24
亚烷基。亚烷基可以是支链或非支链。亚烷基也可以是经取代或未经取代的。例如,亚烷基可经一或更多取代基取代,如本文对烷基所述的。
[0044]“炔基”是指具有一个或更多三键的任选地经取代的c
2-24
烷基。炔基可以是环状或非环状的,例如乙炔基、1-丙炔基及类似物。炔基也可以是经取代或未经取代的。例如,炔基可经一个或更多取代基取代,如本文对烷基所述的。
anhydride)、1,2-环己烷二羧酐(1,2-cyclohexanedicarboxylic anhydride)等通过去除一个或更多氢所形成的基团。其他示例性环酐基包括二氧四氢呋喃基(dioxotetrahydrofuranyl)、二氧二氢异苯并呋喃基(dioxodihydroisobenzofuranyl)等。环酐基也可以是经取代或未经取代的。例如,环酐基可经一个或更多基团(包括本文对杂环基所述的那些)取代。
[0055]“环烯基”是指具有一个或更多双键的三至八个碳原子(除非另有说明)的单价未饱和非芳香族或芳香族环状烃基。环烯基也可以是经取代或未经取代的。例如,环烯基可经一或更多个基团(包括本文对烷基所述的那些)取代。
[0056]“环烷基”是指三至八个碳(除非另有说明)的单价饱和或不饱和非芳香族或芳香族环状烃基,且示例为环丙基、环丁基、环戊基、环戊二烯基、环己基、环庚基、双环[2.2.1.]庚基及类似物。环烷基也可以是经取代或未经取代的。例如,环烷基可经一个或更多基团(包括本文对烷基所述的那些)取代。
[0057]“卤素”是指f、cl、br或i。
[0058]“卤代烷基”是指经一个或更多卤素取代的本文所定义的烷基。
[0059]“杂烷基”是指含有一、两、三或四个非碳杂原子(例如,独立选自由氮、氧、磷、硫、硒或卤素所组成的群组)的如本文所定义的烷基。
[0060]“亚杂烷基”是指含有一、两、三或四个非碳杂原子(例如,独立地选自由氮、氧、磷、硫、硒或卤素所组成的群组)的本文所定义的烷基的二价形式。亚杂烷基可以是经取代或未经取代的。例如,亚杂烷基可经一个或更多取代基取代,如本文中对烷基所述的。
[0061]“杂环基”是指含有一、两、三或四个非碳杂原子(例如,独立选自由氮、氧、磷、硫、硒或卤素所组成的群组)的3-、4-、5-、6-或7-元环(例如,5-、6-或7-元环),除非另有说明。3-元环具有零至一个双键,4-和5-元环具有零至两个双键,而6-和7-元环具有零至三个双键。术语“杂环基”还包括双环、三环和四环基,其中上述杂环状环中的任一者稠合至独立选自由芳环、环己烷环、环己烯环、环戊烷环、环戊烯环和另一单环杂环状环所组成的群组中的一、两或三个环,例如吲哚基(indolyl)、喹啉基(quinolyl)、异喹啉基(isoquinolyl)、四氢喹啉基(tetrahydroquinolyl)、苯并呋喃基(benzofuryl)、苯并噻吩基(benzothienyl)及类似物。杂环包括吖啶基(acridinyl)、腺嘌呤基(adenyl)、咯嗪基(alloxazinyl)、氮杂金刚烷基(azaadamantanyl)、氮杂苯并咪唑基(azabenzimidazolyl)、氮杂双环壬基(azabicyclononyl)、氮杂环庚基(azacycloheptyl)、氮杂环辛基(azacyclooctyl)、氮杂环壬基(azacyclononyl)、氮杂次黄嘌呤基(azahypoxanthinyl)、氮杂吲唑基(azaindazolyl)、氮杂吲哚基(azaindolyl)、氮杂癸因基(azecinyl)、氮杂环庚烷基(azepanyl)、氮杂庚因基(azepinyl)、吖丁啶基(azetidinyl)、氮杂环丁二烯基(azetyl)、吖丙啶基(aziridinyl)、吖丙啶基(azirinyl)、氮杂环辛烷基(azocanyl)、吖辛因基(azocinyl)、氮杂环壬烷基(azonanyl)、苯并咪唑基(benzimidazolyl)、苯并异噻唑基(benzisothiazolyl)、苯并异噁唑基(benzisoxazolyl)、苯并二氮杂庚因基(benzodiazepinyl)、苯并二吖辛因基(benzodiazocinyl)、苯并二氢呋喃基(benzodihydrofuryl)、苯并二氧庚因基(benzodioxepinyl)、苯并二氧杂环己烯基(benzodioxinyl)、苯并二氧杂环己基(benzodioxanyl)、苯并二氧辛因基(benzodioxocinyl)、苯并二氧杂环戊烯基(benzodioxolyl)、苯并二噻庚因基
(benzodithiepinyl)、苯并二硫杂环己二烯基(benzodithiinyl)、苯并二氧辛因基(benzodioxocinyl)、苯并呋喃基(benzofuranyl)、苯并吩嗪基(benzophenazinyl)、苯并吡喃酮基(benzopyranonyl)、苯并哌喃基(benzopyranyl)、苯并芘基(benzopyrenyl)、苯并吡喃酮基(benzopyronyl)、苯并喹啉基(benzoquinolinyl)、苯并喹嗪基(benzoquinolizinyl)、苯并硫二氮庚因基(benzothiadiazepinyl)、苯并噻二唑基(benzothiadiazolyl)、苯并硫氮庚因基(benzothiazepinyl)、苯并硫吖辛因基(benzothiazocinyl)、苯并噻唑基(benzothiazolyl)、苯并噻吩基(benzothienyl)、苯并苯硫基(benzothiophenyl)、苯并噻嗪酮基(benzothiazinonyl)、苯并噻嗪基(benzothiazinyl)、苯并噻喃基(benzothiopyranyl)、苯并噻喃酮基(benzothiopyronyl)、苯并三氮庚因基(benzotriazepinyl)、苯并三嗪酮基(benzotriazinonyl)、苯并三嗪基(benzotriazinyl)、苯并三唑基(benzotriazolyl)、苯并氧硫杂环己二烯基(benzoxathiinyl)、苯并三氧庚因基(benzotrioxepinyl)、苯并氧二氮庚因基(benzoxadiazepinyl)、苯并氧硫氮庚因基(benzoxathiazepinyl)、苯并氧硫庚因基(benzoxathiepinyl)、苯并氧硫辛因基(benzoxathiocinyl)、苯并氧氮庚因基(benzoxazepinyl)、苯并噁嗪基(benzoxazinyl)、苯并氧吖辛因基(benzoxazocinyl)、苯并噁唑啉酮基(benzoxazolinonyl)、苯并噁唑啉基(benzoxazolinyl)、苯并噁唑基(benzoxazolyl)、苄基磺内酰胺基(benzylsultamyl)、苄基亚磺内酰胺基(benzylsultimyl)、苄基亚磺内酰胺基(benzylsultimyl)、双吡嗪基(bipyrazinyl)、双吡啶基(bipyridinyl)、咔唑基(carbazolyl)(例如,4h-咔唑基)、咔啉基(carbolinyl)(例如,β-咔啉基)、色满酮基(chromanonyl)、苯并二氢吡喃基(chromanyl)、苯并吡喃基(chromenyl)、啉基(cinnolinyl)、香豆素基(coumarinyl)、胞苷基(cytdinyl)、胞嘧啶基(cytosinyl)、十氢异喹啉基(decahydroisoquinolinyl)、十氢喹啉基(decahydroquinolinyl)、二氮杂二环辛烷基(diazabicyclooctyl)、二氮杂环丁二稀基(diazetyl)、二氮丙啶亚硫酰基(diaziridinethionyl)、二氮丙啶酮基(diaziridinonyl)、二吖丙啶基(diaziridinyl)、二吖丙啶基(diazirinyl)、二苯异喹啉基(dibenzisoquinolinyl)、二苯并吖啶基(dibenzoacridinyl)、二苯并咔唑基(dibenzocarbazolyl)、二苯并呋喃基(dibenzofuranyl)、二苯并吩嗪基(dibenzophenazinyl)、二苯并吡喃酮基(dibenzopyranonyl)、二苯并吡喃酮基(dibenzopyronyl)(咕吨酮基/xanthonyl)、二苯并喹噁啉(dibenzoquinoxalinyl)、二苯并硫氮庚因基(dibenzothiazepinyl)、二苯并硫庚因基(dibenzothiepinyl)、二苯并苯硫基(dibenzothiophenyl)、二苯并氧庚因基(dibenzoxepinyl)、二氢氮庚因基(dihydroazepinyl)、二氢氮杂环丁二烯基(dihydroazetyl)、二氢呋喃基(dihydrofuranyl)、二氢呋喃基(dihydrofuryl)、二氢异喹啉基(dihydroisoquinolinyl)、二氢哌喃基(dihydropyranyl)、二氢吡啶基(dihydropyridinyl)、二氢吡啶基(dihydroypyridyl)、二氢喹啉基(dihydroquinolinyl)、二氢噻吩基(dihydrothienyl)、二氢吲哚基(dihydroindolyl)、二氧杂环己基(dioxanyl)、二噁嗪基(dioxazinyl)、二氧吲哚基(dioxindolyl)、二环氧乙烷基(dioxiranyl)、二环氧乙烯基(dioxenyl)、二氧杂环己烯基(dioxinyl)、二氧苯并呋喃基(dioxobenzofuranyl)、二氧杂环戊烯基(dioxolyl)、二氧四氢呋喃基(dioxotetrahydrofuranyl)、二氧硫代吗啉基(dioxothiomorpholinyl)、二噻
环己基(dithianyl)、二噻唑基(dithiazolyl)、二噻吩基(dithienyl)、硫杂环己二烯基(dithiinyl)、呋喃基(furanyl)、呋吖基(furazanyl)、呋喃甲酰基(furoyl)、呋喃基(furyl)、鸟嘌呤基(guaninyl)、均哌嗪基(homopiperazinyl)、均哌啶基(homopiperidinyl)、次黄嘌呤基(hypoxanthinyl)、乙内酰脲基(hydantoinyl)、咪唑啉啶基(imidazolidinyl)、咪唑啉基(imidazolinyl)、咪唑基(imidazolyl)、吲唑基(indazolyl)(例如,1h-吲唑基)、吲哚烯基(indolenyl)、吲哚啉基(indolinyl)、吲哚嗪基(indolizinyl)、吲哚基(indolyl)(例如,1h-吲哚基或3h-吲哚基)、靛红基(isatinyl)、靛红基(isatyl)、异苯并呋喃基(isobenzofuranyl)、异苯并二氢吡喃基(isochromanyl)、异苯并吡喃基(isochromenyl)、异吲唑基(isoindazoyl)、异吲哚啉基(isoindolinyl)、异吲哚基(isoindolyl)、异吡唑酰基(isopyrazolonyl)、异吡唑基(isopyrazolyl)、异噁唑啶基(isoxazolidiniyl)、异噁唑基(isoxazolyl)、异喹啉基(isoquinolinyl)、异喹啉基(isoquinolinyl)、异噻唑啶基(isothiazolidinyl)、异噻唑基(isothiazolyl)、吗啉基(morpholinyl)、萘并吲唑基(naphthindazolyl)、萘并吲哚基(naphthindolyl)、二氮杂萘基(naphthiridinyl)、萘并吡喃基(naphthopyranyl)、萘并噻唑基(naphthothiazolyl)、萘并硫酮基(naphthothioxolyl)、萘并三唑基(naphthotriazolyl)、萘并氧代吲哚基(naphthoxindolyl)、啶基(naphthyridinyl)、八氢异喹啉基(octahydroisoquinolinyl)、氧杂双环庚烷基(oxabicycloheptyl)、氧杂脲嘧啶(oxauracil)、噁二唑基(oxadiazolyl)、噁嗪基(oxazinyl)、噁吖丙啶基(oxaziridinyl)、噁唑啶基(oxazolidinyl)、噁唑啶酮基(oxazolidonyl)、噁唑啉基(oxazolinyl)、噁唑酮基(oxazolonyl)、噁唑基(oxazolyl)、氧杂环庚烷基(oxepanyl)、氧杂环丁烷酮基(oxetanonyl)、氧杂环丁烷基(oxetanyl)、氧杂环丁二烯基(oxetyl)、氧杂环丁烷基(oxtenayl)、氧吲哚基(oxindolyl)、环氧乙烷基(oxiranyl)、氧代苯并异噻唑基(oxobenzoisothiazolyl)、氧代苯并吡喃基(oxochromenyl)、氧代异喹啉基(oxoisoquinolinyl)、氧代喹啉基(oxoquinolinyl)、氧代硫杂环戊基(oxothiolanyl)、啡啶基(phenanthridinyl)、啡啉基(phenanthrolinyl)、啡嗪基(phenazinyl)、啡噻嗪基(phenothiazinyl)、啡噻吩基(phenothienyl)(苯并硫代呋喃基/benzothiofuranyl)、啡噁噻基(phenoxathiinyl)、啡噁嗪基(phenoxazinyl)、酞嗪基(phthalazinyl)、酞嗪酮基(phthalazonyl)、酞基(phthalidyl)、苯并吡咯烷酮基(phthalimidinyl)、哌嗪基(piperazinyl)、哌啶基(piperidinyl)、哌啶酮基(piperidonyl)(例如,4-哌啶酮基)、喋啶基(pteridinyl)、嘌呤基(purinyl)、哌喃基(pyranyl)、吡嗪基(pyrazinyl)、吡唑啶基(pyrazolidinyl)、吡唑啉基(pyrazolinyl)、吡唑并嘧啶基(pyrazolopyrimidinyl)、吡唑基(pyrazolyl)、哒嗪基(pyridazinyl)、吡啶基(pyridinyl)、吡啶并吡嗪基(pyridopyrazinyl)、吡啶并嘧啶基(pyridopyrimidinyl)、吡啶基(pyridyl)、嘧啶基(pyrimidinyl)、嘧啶基(pyrimidyl)、吡喃酮基(pyronyl)、吡咯啶基(pyrrolidinyl)、吡咯啶酮基(pyrrolidonyl)(例如,2-吡咯啶酮基)、吡咯啉基(pyrrolinyl)、吡咯嗪烷基(pyrrolizidinyl)、吡咯基(pyrrolyl)(例如,2h-吡咯基)、吡喃鎓(pyrylium)、喹唑啉基(quinazolinyl)、喹啉基(quinolinyl)、喹嗪基(quinolizinyl)(例如,4h-喹嗪基)、喹噁啉(quinoxalinyl)、喹咛环基(quinuclidinyl)、硒杂吖嗪基(selenazinyl)、硒杂唑基(selenazolyl)、硒吩基(selenophenyl)、琥珀酰亚胺基(succinimidyl)、环丁砜基
(sulfolanyl)、四氢呋喃基(tetrahydrofuranyl)、四氢呋喃基(tetrahydrofuryl)、四氢异喹啉基(tetrahydroisoquinolinyl)、四氢异喹啉基(tetrahydroisoquinolyl)、四氢吡啶基(tetrahydropyridinyl)、四氢吡啶基(tetrahydropyridyl)、哌啶基(piperidyl)、四氢哌喃基(tetrahydropyranyl)、四氢吡喃酮基(tetrahydropyronyl)、四氢喹啉基(tetrahydroquinolinyl)、四氢喹啉基(tetrahydroquinolyl)、四氢噻吩基(tetrahydrothienyl)、四氢苯硫基(tetrahydrothiophenyl)、四嗪基(tetrazinyl)、四唑基(tetrazolyl)、噻二嗪基(thiadiazinyl)(例如,6h-1,2,5-噻二嗪基或2h,6h-1,5,2-二噻二嗪基)、噻二唑基(thiadiazolyl)、噻嗯基(thianthrenyl)、噻环己基(thianyl)、硫茚基(thianaphthenyl)、硫氮庚因基(thiazepinyl)、噻嗪基(thiazinyl)、噻唑烷二酮基(thiazolidinedionyl)、噻唑啶基(thiazolidinyl)、噻唑基(thiazolyl)、噻吩基(thienyl)、硫杂环庚烷基(thiepanyl)、硫杂庚因基(thiepinyl)、氧杂环丁烷基(thietanyl)、硫杂杂环丁烯基(thietyl)、硫杂环丙基(thiiranyl)、硫杂环辛烷基(thiocanyl)、硫代色满酮基(thiochromanonyl)、硫代苯并二氢吡喃基(thiochromanyl)、硫代苯并吡喃基(thiochromenyl)、硫代二嗪基(thiodiazinyl)、噻二唑基(thiodiazolyl)、噻茚酚基(thioindoxyl)、硫代吗啉基(thiomorpholinyl)、苯硫基(thiophenyl)、噻喃基(thiopyranyl)、硫代吡喃酮基(thiopyronyl)、硫代三唑基(thiotriazolyl)、硫代脲唑基(thiourazolyl)、硫氧杂环己基(thioxanyl)、硫氧杂环戊烯基(thioxolyl)、胸嘧啶基(thymidinyl)、胸苷基(thyminyl)、三嗪基(triazinyl)、三唑基(triazolyl)、三噻环己基(trithianyl)、脲嗪基(urazinyl)、脲唑基(urazolyl)、脲丁啶基(uretidinyl)、脲啶基(uretinyl)、脲嘧啶基(uricyl)、脲苷基(uridinyl)、呫吨基(xanthenyl)、黄嘌呤基(xanthinyl)、呫吨硫酮基(xanthionyl)及类似物,以及其修饰形式(例如,包括一个或更多氧代基和/或氨基)及其盐类。杂环基可以是经取代或未经取代的。例如,杂环基可经一个或更多取代基取代,如本文对烷基所述的。
[0062]“烃基(hydrocarbyl)”是指通过从烃中除去氢原子而形成的一价基团。非限制性的未经取代烃基包括如本文所定义的烷基、烯基、炔基以及芳基,其中这些基团仅包括碳和氢原子。烃基可以是经取代或未经取代的。例如,烃基可经一或更多取代基取代,如本文对烷基所述的。在其他实施方案中,本文中的任何烷基或芳基可被本文所定义的烃基取代。
[0063]“羟基(hydroxyl)”是指-oh。
[0064]“羟烷基(hydroxyalkyl)”是指经一至三个羟基取代的本文所定义的烷基,条件是不超过一个的羟基可连接至该烷基的单个碳原子,且示例为羟甲基、二羟丙基及类似物。
[0065]“羟芳基(hydroxyaryl)”是指经一至三个羟基取代的本文所定义的芳基,条件是不超过一个的羟基可连接至该芳基的单个碳原子,且示例为羟苯基、二羟苯基及类似物。
[0066]“异氰酸基(isocyanato)”是指-nco。
[0067]“环氧(oxido)”是指-o-基团。
[0068]“氧代基(oxo)”是指=o基团。
[0069]“膦(phosphine)”是指具有烃基部分的三价或四价磷。在一些实施方案中,膦为-pr
p3
基团,其中每一rp独立为h、任选地经取代的烷基或任选地经取代的芳基。膦基可以是经取代或未经取代的。例如,膦基可经一个或更多取代基取代,如本文对烷基所述的。
[0070]“硒醇(selenol)”是指-seh基团。
[0071]“碲醇(tellurol)”是指-teh基团。
[0072]“硫异氰酸基(thioisocyanato)”是指-ncs。
[0073]“硫醇(thiol)”是指-sh基团。
[0074]
如本文所使用,术语“约”意指与任何所述值相差+/-10%。如本文所使用的,该术语修饰任何所述值、值的范围或一或更多范围的端点。
[0075]
如本文所使用,术语“顶部”、“底部”、“上部”、“下部”、“上方”和“下方”用于提供结构之间的相对关系。这些术语的使用并不表示或要求特定结构必须放置在装置中的特定位置。
[0076]
本发明的其他特征及优点将根据以下描述和权利要求而变得显而易见。
附图说明
[0077]
图1a-1c呈现了非限制性堆叠件的示意图。提供(a)包含有非限制性敏化膜102的堆叠件;(b)提供并入euv敏化剂的抗蚀剂材料的非限制性前体的示意图;以及(c)形成敏化膜的非限制性系统示意图。
[0078]
图2a-2c呈现了使用敏化膜的非限制性方法的流程图。提供(a)第一非限制性方法200,其包括202沉积具有euv敏化剂的光致抗蚀剂(pr);(b)第二非限制性方法220,其包括222在euv敏化剂存在下沉积pr并226烘烤/预处理pr层;以及(c)第三非限制性方法240,其包括242提供具有残留euv敏化剂的室并且244在所述euv敏化剂存在下沉积pr。
[0079]
图3呈现了用于干式显影的处理站300实施方案的示意图。
[0080]
图4呈现了多站处理工具400的实施方案的示意图。
[0081]
图5呈现了感应耦合式等离子体装置500的实施方案的示意图。
[0082]
图6呈现了半导体处理群集工具架构600的实施方案的示意图。
具体实施方式
[0083]
本公开总体上涉及半导体处理领域。在特定方面,本发明是针对采用与euv敏化剂组合的euv光致抗蚀剂的方法及装置。在一些实施方案中,euv光致抗蚀剂(例如,euv敏感的含金属和/或金属氧化物抗蚀剂膜)的处理可包括euv图案化以及euv图案化膜显影以形成图案化掩模。
[0084]
将详细参照本公开内容的具体实施方案。具体实施方案的示例描绘于附图中。虽然本公开内容将结合这些具体实施方案进行描述,但应理解,不应将本公开内容限制于这些具体实施方案。相反,其应包含落在本公开内容的精神和范围内的置换、变更和等同方案。在以下说明中,提出了许多特定细节以提供对本公开内容的彻底理解。本公开内容可以在缺少这些特定细节中的一些或全部的情况下实施。在其它情况下,公知的工艺操作并未详加描述,以免不必要地使本公开内容难以理解。
[0085]
euv光刻使用euv抗蚀剂,euv抗蚀剂被图案化而形成掩模以用来蚀刻下伏层。euv抗蚀剂可以是基于聚合物的化学放大抗蚀剂(car),car是通过基于液体的旋涂技术所产生。car的一替代方案为直接可光图案化的(photopatternable)含金属氧化物膜,该膜例如可从inpria corp.(corvallis,or)购得的、并且描述于例如美国专利公开no.us 2017/0102612、us2016/0216606和us 2016/0116839,其并入本文中作为参考文件,至少因为其公
开了可光图案化的含金属氧化物膜。这样的膜可通过旋涂技术或干式气相沉积来生产。含金属氧化物的膜可在真空环境中通过euv暴露直接进行图案化(即,不使用单独的光致抗蚀剂),提供低于30nm(sub-30nm)的图案化分辨率,例如,如2018年6月12日授权且发明名称为euv photopatterning of vapor-deposited metal oxide-containing hardmasks的美国专利no.9,996,004、和/或2019年5月9日申请且发明名称为methods for making euv patternable hard masks的国际申请no.pct/us19/31618(公开为国际公开no.wo2019/217749),这些公开内容至少涉及直接可光图案化的金属氧化物膜的组成、沉积及图案化以形成euv抗蚀剂掩模,且并入本文中作为参考。通常,图案化涉及利用euv辐射进行euv抗蚀剂的暴露以在光致抗蚀剂中形成光图案,然后通过显影以根据光图案而去除光致抗蚀剂的一部分以形成掩模。
[0086]
直接可光图案化的euv抗蚀剂可以由混合于有机成分内的金属和/或金属氧化物构成或包含这些成分。金属/金属氧化物因其可增强euv光子吸收并且产生二次电子和/或相对于底层膜堆和设备层呈现较大蚀刻选择性而非常有前景。迄今为止,这些抗蚀剂已使用湿式(溶剂)方式来进行显影,其需将晶片移至显影机,以在其中将其暴露于显影溶剂中,并进行干燥和烘烤。该湿式显影步骤不仅限制生产率,还会因细小特征之间的溶剂蒸发期间的表面张力效应而导致线塌陷。敏化膜及其堆叠件
[0087]
本发明涉及敏化膜的用途并描述用于沉积这种膜的多种化合物。在特定实施方案中,敏化膜被设置在衬底的顶表面上,其中该膜包括两种成分:euv抗蚀剂材料和euv敏化剂。在特定实施方案中,euv敏化剂分散在整个膜中。在一些实施方案中,euv敏化剂被结合于提供euv抗蚀剂材料的含金属前体中。这种结合可以包括euv敏化剂与含金属前体之间的键(例如,共价键)。在其他实施方案中,膜内euv敏化剂的浓度梯度为恒定或有变化。这种敏化膜可以任何有用的方式沉积,这种方法的细节还描述于本文中。
[0088]
图1a提供了包括具有顶表面的衬底101(例如,半导体衬底)及设置于衬底101顶表面的敏化膜102的非限制性堆叠件。该膜可包括任何有用的euv敏感材料(例如,本文所述的任一者)或与任何有用的euv敏化剂组合的光致抗蚀剂(pr)。
[0089]
敏化膜可使用提供euv抗蚀剂材料和euv敏化剂的任何有用的前体来形成。图1b提供了具有结合euv敏化剂的euv抗蚀剂材料(或其前体)的非限制性前体。如可见的,前体可包括具有烷基(黑色)和多个配位基(灰色)的有机金属材料。此外,烷基可在β位置(图1b左侧)或α位置(图1b右侧)结合euv敏化剂。在一些实施方案中,在euv暴露时,可通过消去反应的方式释出烷基。本文描述了euv敏化剂和euv抗蚀剂材料的额外前体。
[0090]
敏化膜可以包括任何有用量的敏化剂。在一实施方案中,相比于在不含敏化剂的膜中进行图案化,敏化剂的量提供在图案化期间导致euv剂量下降的敏化膜。不希望受限于机制,敏化膜可产生单向通量的一次和/或二次光电子进入膜中,因而提供额外辐射以对膜图案化。在一实例中,敏化膜的厚度可以是例如约5nm至约200nm。
[0091]
敏化膜可以任何有用的方式沉积,如本文所述的。非限制性沉积技术包括原子层沉积(ald)(例如,热ald及等离子体增强ald(pe-ald))、旋涂沉积、物理气相沉积(pvd)(包括pvd共溅镀)、化学气相沉积(cvd)、等离子体增强cvd(pe-cvd)、低压cvd(lp-cvd)、溅镀沉积、电子束(e-beam)沉积(包括电子束共蒸镀等),或其组合。
[0092]
图1c提供了用于沉积敏化膜层的非限制性系统。如可见的,该系统可以包括第一沉积室100以及用于输送euv抗蚀剂材料的前体105(如本文所述的任一者)并且输送可选逆反应物106(如本文所述的任一者)的蒸气输送系统。此等输送可以任何有用的方式完成。在一实例中,使第一推动气体102通过前体105,第二推动气体103通过逆反应物106以提供蒸气形式的化合物。替代地,可使起泡气体通过液体前体105或液体逆反应物106,并将具有蒸气形式的前体或逆反应物的起泡气体输送至室100中。此外,蒸气输送系统可采用一种或更多稀释剂,其中以气体形式提供第一稀释剂101以稀释输送前体的气流且其中以气体形式提供第二稀释剂104以稀释输送逆反应物的气流。因此,如可见的,可提供euv敏化剂(或euv敏化剂的前体)作为推动气体102、103、起泡气体和/或稀释剂101、104。
[0093]
还如图1c所见的,在沉积之后或期间,室100内的流出气体可利用泵110排出并通过使用冷捕集器120来收集。可将冷捕集器的温度优化,以分离气体形式的euv敏化剂124与具有液体形式的剩余化合物的排出物122。以此方式,未反应的敏化剂可被回收,以用于可能的再利用或储存。在一实施方案中,该捕集器的温度高于待捕集的euv敏化剂的沸点并低于排出物中其他化合物的沸点。例如,氙的沸点为约-108℃,捕集器温度高于-108℃(例如,从-60℃至-40℃),因而得以回收呈气相的氙。
[0094]
回收的敏化剂可储存以立即或稍后再使用。在一实施方案中,该系统可包括使用第一泵130以将euv敏化剂从气体形式液化并将euv敏化剂储存为液体。在另一实施方案中,该系统可以包括使用第二泵140以将回收的euv敏化剂输送至第二阶段沉积室150。类似于在第一阶段沉积室100中提供的试剂,该系统可以包括蒸气输送系统,以输送euv抗蚀剂材料的前体155(例如,本文所述的任一者)并输送逆反应物156(例如,本文所述的任一者)至室150。在一实例中,使第三推动气体152通过前体155,第四推动气体153通过逆反应物156以提供蒸气形式的化合物。替代地,可使起泡气体通过液体前体155或液体逆反应物156,并将具有蒸气形式的前体或逆反应物的起泡气体输送至室150中。此外,蒸气输送系统可以采用第三稀释剂151以稀释输送前体的气流,以及第四稀释剂154以稀释输送逆反应物的气流。可提供euv敏化剂(或euv敏化剂的前体)作为推动气体152、153、起泡气体和/或稀释剂151、154。形成敏化膜的方法
[0095]
用于形成敏化膜的非限制性方法可包括以下操作:沉积具有euv敏化剂的膜、穿过膜来图案化该膜以提供euv暴露区和euv未暴露区、以及对该膜显影。替代地,可仅使用euv抗蚀剂材料或其前体来沉积膜,接着在抗蚀剂沉积期间或之后植入euv敏化剂(或其前体)。这种方法可包括任何有用的光刻工艺、沉积工艺、euv暴露工艺、显影工艺及涂敷后工艺,如本文所述的。
[0096]
图2a提供了用于形成敏化膜的非限制性方法200,其中该方法包括202在衬底的顶表面上沉积光致抗蚀剂和euv敏化剂作为敏化膜,其中该膜还包括euv抗蚀剂材料。在一些实施方案中,euv抗蚀剂材料和/或euv敏化剂以气体形式提供。
[0097]
该方法可进一步包括208通过euv暴露对该膜图案化以产生pr图案并212对该膜显影,因而在膜内提供pr图案。在显影的多种实施方案中,去除暴露区域(正型)或去除未暴露区域(负型)。在一些实施方案中,显影可包括在pr的暴露或未暴露区域上选择性沉积,随后进行蚀刻操作。
[0098]
可进行可选步骤以进一步处理衬底和/或膜。在一实例中,该方法可包括可选步骤204,以清洁衬底的背侧表面或斜面或去除在前一步骤中沉积的光致抗蚀剂的边缘珠。这种清洁或去除步骤可用于去除在沉积光致抗蚀剂层之后可能存在的颗粒。在另一实例中,该方法可包括可选步骤206,以对沉积的光致抗蚀剂层进行涂敷后烘烤(pab),因而从该层中去除残留水分以形成膜;或以任何有用的方式预处理光致抗蚀剂层。在又一实例中,该方法可以包括可选步骤210,以对暴露的光致抗蚀剂层进行暴露后烘烤(peb),从而进一步从层中去除残留水分或促进膜内的化学缩合;或以任何有用的方式对光致抗蚀剂层进行后处理。在另一实例中,该方法可包括可选步骤203,以在沉积步骤202之后捕获euv敏化剂。本文描述额外的涂敷后工艺,且可进行这些工艺中的任一者作为本文所述的任一方法的可选步骤。
[0099]
euv敏化剂可在沉积之前、期间或之后的任何有用阶段引入。在一实例中,沉积可以包括在沉积室内使用euv抗蚀剂材料与euv敏化剂两者的前体。可在室中提供这种前体,其中euv敏化剂被提供作为推动气体、起泡气体或稀释剂。在又一实例中,对沉积室提供现有量的euv敏化剂,其可接着在沉积euv抗蚀剂材料时嵌入膜内。在特定实施方案中,euv敏化剂例如通过共价键结合至euv抗蚀剂材料的前体中;且所得化合物以由推进气体或起泡气体所携带的蒸气形式提供。
[0100]
在另一实例中,euv敏化剂在抗蚀剂沉积之后提供,例如通过在euv敏化剂或其前体存在下利用光致抗蚀剂层的后处理步骤进行。这种后处理可包括在euv敏化剂(例如,以气体形式提供)存在下烘烤pr层。
[0101]
图2b提供了用于形成敏化膜的非限制性方法220,其中该方法包括222在衬底的顶表面上沉积pr作为抗蚀剂膜以及226在euv敏化剂或其前体存在下进行pab或预处理。以此方式,euv敏化剂可用来掺杂下伏膜,因而提供敏化膜。该方法可进一步包括228通过euv暴露对该膜图案化以提供pr图案以及232对该膜显影,从而在膜内提供pr图案。
[0102]
图2c提供了用于形成敏化膜的另一非限制性方法240,其中该方法包括242对室提供残留的euv敏化剂或其前体,以及244在衬底的顶表面上沉积pr作为抗蚀剂膜,因而允许残留的euv敏化剂在沉积期间包含在膜中以提供敏化膜。该方法可进一步包括250通过euv暴露对膜进行图案化以提供pr图案以及254对该膜进行显影,从而在膜内提供pr图案。
[0103]
如图2b-2c所见的,可执行可选步骤,包括步骤224、246,清洁衬底的背侧表面或斜面或去除在先前步骤中沉积的pr的边缘珠;步骤248,对沉积的pr层进行pab,从而从该层中去除残留水分以形成膜或以任何有用的方式对pr层预处理;步骤230、252,对暴露的pr层进行peb,从而进一步从该层中去除残留水分或促进膜内的化学缩合或以任何有用的方式对pr层进行后处理;和/或步骤223、245,在沉积222、244之后捕获未反应的euv敏化剂。
[0104]
在沉积和/或显影步骤期间可采用任何有用类型的化学物。这样的步骤可基于采用气相化学物的干式工艺或采用湿相化学物的湿式工艺。多种实施方案包括组合通过气相沉积的成膜、(euv)光刻光图案化、干式剥离及干式显影的所有干式操作。多种其他实施方案包括有利地与湿式处理操作组合的本文所述的干式处理操作,例如旋涂euv光致抗蚀剂(湿式工艺)(例如可从inpria公司获得)可以与本文所述的干式显影或其他湿式或干式工艺组合。在多种实施方案中,晶片清洁可以是如本文所述的湿式工艺,而其他工艺为干式工艺。在还有的其他实施方案中,可使用湿式显影工艺。
euv敏化剂
[0105]
euv敏化剂可以是具有增强光吸收的任何有用的化合物。在一些实施方案中,该化合物具有约9e+04cm2/g至约1.2e+05cm2/g(例如,在93.4ev或在92ev,其涉及约13.5nm的euv光吸收)的光吸收截面。
[0106]
在一实施方案中,euv敏化剂是以气体形式提供。在其他实施方案中,化合物的特征在于标准温度和压强下提供气体的密度,例如小于约5g/cm3的密度或约0.002g/cm3至约5g/cm3的密度。在特定实施方案中,euv敏化剂具有约9e+04cm2/g至约1.2e+05cm2/g(例如,在93.4ev)的光吸收截面和标准温度及压强下约0.002g/cm3至约5g/cm3的密度。
[0107]
非限制性euv敏化剂可以包括具有或为xe或i的任何化合物。可采用xe和i的任何有用同位素。在特定实施方案中,euv敏化剂为
133
xe、
136
xe或
129
xe。在其他实施方案中,组合使用两种或更多同位素。在其他实施方案中,euv敏化剂通过使用含碘前体(例如,risn(nr2)3)来形成,其中ri为包括一个或更多碘的任选地经取代的烷基,且每一r独立为烷基。在一些实施方案中,含碘前体为(1-碘异丙基)三(二甲基氨基)锡、(2-碘异丙基)三(二甲基氨基)锡、(2-碘异丙基)三(二甲基氨基)锡、(2-碘丁基,1-(三(二甲基氨基)锡)),或(3-碘丁基,1-(三(二甲基氨基)锡))。
[0108]
在一些实施方案中,euv敏化剂是通过使用含碘前体来形成,例如i2、hi、包含碘取代的烷基的有机金属前体,或进一步包括碘取代的本文所述的任何含金属前体。在特定实施方案中,具有碘的含金属前体可包括本文所述的任一式(例如,式(i)、(ii)、(iia)、(iii)、(iv)、(v)、(vi)、(vii)或(viii),其中至少一x为i,至少一个r为碘取代的烷基(例如,α-取代的烷基或β-取代的烷基),以及/或至少一个l包括碘原子。在一些实施方案中,碘取代的烷基(例如,对于r或如l中使用的)可以是甲基、乙基、正丙基、异丙基、叔丁基、叔戊基、叔己基、环己基、异丙基、异丁基、仲丁基、正丁基、正戊基或正己基或其具有一个或更多碘取代的衍生物。碘取代的烷基可以是包括一个、两个、三个、四个或更多碘取代的c
1-10
烷基。在其他实施方案中,l可以是碘、碘取代的烷基(例如,本文所述的任一者)、氨基(例如,-nr1r2,其中r1和r2各自可以是h或烷基,例如本文所述的任一者,以及其中r1或r2中的至少一者包括碘取代的烷基)、烷氧基(例如,-or,其中r为碘取代的烷基)、或包括一个或更多碘取代的任何有机部分。
[0109]
包含碘的还有的额外含金属前体可以是sni4、(ch3)3sni、三异丙基(甲基(碘)乙基)锡烷、三(二甲基氨基)(甲基(碘)乙基)锡烷、或三(二甲基氨基)(1-甲基-2-碘-乙基)锡烷。在其他实施方案中,含金属前体可以是sn(nr2)4、snr(nr2)3、snr2(nr2)2或snr3(nr2),其中r各自独立地为甲基、乙基、正丙基或异丙基,且至少一个r为经碘取代的甲基、乙基、正丙基或异丙基(例如,具有一个或更多碘取代)。
[0110]
euv敏化剂或其前体可以任何有用的形式提供。在一实施方案中,euv敏化剂或其前体以气体形式提供。在特定的实施方案中,euv敏化剂或其前体被提供为惰性载气中的蒸气(例如,n2中的碘蒸气或氙蒸气流)。非限制性载气包括例如h2、he、ar或n2。在其他实施方案中,euv敏化剂或其前体本身被作为气体(例如,作为i2或xe)提供。euv敏化剂或其前体还可提供作为等离子体(例如,本文所述的rf等离子体或任何其他等离子体条件),其可用于产生反应性物种(例如,反应性i2、反应性原子i物质、反应性xe、或反应性原子xe物质)。euv抗蚀剂材料
[0111]
本文的方法可包括任何有用的euv抗蚀剂材料以提供敏化膜(例如,成像层)。euv抗蚀剂材料可由以下物质构成或包含以下物质:金属(例如,锡(sn)、碲(te)、铋(bi)、锑(sb)或铟(in));金属氧化物,例如氧化锡(例如,sno2)、氧化碲(例如,teo2)、氧化铋(例如,bi2o3)、氧化锑(例如,sb2o3)或氧化铟(例如,in2o3);合金,例如锡合金(例如,碲化锡合金)、碲化锑合金(例如,sb2te3)、碲化铋合金(例如,bi2te3)、或锡铋合金(包括具有60%或以上锡的合金);或其组合。在一些实施方案中,euv抗蚀剂材料包括有机金属氧化物(例如,rm(mo)n,其中m为金属,而r为具有一个或更多碳原子的有机部分,例如在烷基、烷基氨基或烷氧基中)。
[0112]
euv抗蚀剂材料可任选地在一种或更多逆反应物存在下通过使用一种或更多含金属前体来形成。在特定实施方案中,含金属前体包括一个或更多配位基(例如,不稳定配位基),其可通过euv辐射去除或裂解。此外,前体可被沉积(例如,使用本文所述的任一沉积工艺)以及可选地处理(例如,烘烤、处理、退火、暴露于等离子体等)以提供金属氧化物层(例如,包括金属氧化物键的网络的层,其可能包括其他非金属及非氧基团)。
[0113]
非限制性含金属前体可以包括金属卤化物、覆盖剂或有机金属剂。在前体中,金属(或m)可以是具有高euv吸收截面(例如,等于或大于1
×
107cm2/mol)的任何金属。
[0114]
本文的层(例如,成像层、抗蚀剂膜和/或敏化膜)可包括具有高光吸收截面(例如等于或大于1
×
107cm2/mol)的元素(例如,金属原子或非金属原子)。这种元素可通过沉积一种或更多前体来提供以提供该层。
[0115]
这样的层(单独或一起)可被视为膜。在一些实施方案中,该膜为辐射敏感膜(例如,euv敏感膜)。此膜又可作为euv抗蚀剂,如本文进一步所述。在特定实施方案中,该层或膜可包括一个或更多配位基(例如,euv不稳定配位基),其可通过辐射(例如,euv或duv辐射)去除、裂解或交联。
[0116]
前体可提供对辐射敏感的可图案化膜(或图案化辐射敏感膜或可光图案化膜)。这种辐射可以包括euv辐射、duv辐射、或uv辐射,其通过照射穿过图案化掩模来提供,因此为图案化辐射。膜本身可通过暴露于这种辐射来改变,使得该膜是辐射敏感或光敏感的。在特定实施方案中,前体为有机金属化合物,其包括至少一个金属中心。
[0117]
前体可以具有任何有用数量和类型的配位基。在一些实施方案中,配位基的特征在于其在逆反应物存在下或在图案化辐射存在下进行反应的能力。例如,前体可包括与逆反应物反应的配位基,其可在金属中心之间引入键(例如,-o-连结)。在另一实例中,前体可包括在图案化辐射存在下消去的配位基。这种euv不稳定配位基可包括具有β-氢的支链或直链烷基,以及本文对式(i)或(ii)中r所述的任一者。在一些实施方案中,euv不稳定配位基(例如,式(i)或(ii)中的r)包括碘。
[0118]
前体可以是任何有用的含金属前体,例如有机金属剂、金属卤化物或覆盖剂(例如,如本文所述的)。在非限制性实例中,前体包括具有式(i)的结构:marb(i),其中:m为具有高euv吸收截面的金属或原子;每一r独立为h、卤素、任选地经取代的烷基、任选地经取代的环烷基、任选地经取代的环烯基、任选地经取代的烯基、任选地经取代的炔基、任选地经取代的烷氧基、任选地
经取代的烷酰氧基、任选地经取代的芳基、任选地经取代的氨基、任选地经取代的双(三烷基甲硅烷基)氨基、任选地经取代的三烷基甲硅烷基、氧代基(oxo)、阴离子配位基、中性配位基或多齿配位基;a≥1;且b≥1。
[0119]
在另一非限制性实例中,前体包括具有式(ii)的结构:marblc(ii),其中:m为具有高euv吸收截面的金属或原子;每一个r独立为卤素、任选地经取代的烷基、任选地经取代的芳基、任选地经取代的氨基、任选地经取代的烷氧基、或l;每一个l独立为配位基、阴离子配位基、中性配位基、多齿配位基、离子或与逆反应物具反应性的其他部分,其中r与l连同m一起可任选地形成杂环基或其中r与l一起可任选地形成杂环基;a≥1;b≥1;且c≥1。
[0120]
在一些实施方案中,前体中的每一配位基可以是与逆反应物具反应性的配位基。在一实例中,前体包括具有式(ii)的结构,其中每一个r独立地为l。在另一实例中,前体包括具有式(iia)的结构:malc(iia),其中:m为具有高euv吸收截面的金属或原子;每一个l独立为配位基、离子或与逆反应物具反应性的其他部分,其中两个l一起可任选地形成杂环基;a≥1;且c≥1。在式(iia)的特定实施方案中,a为1。在进一步的实施方案中,c为2、3或4。
[0121]
对于本文中的任一式,m可以是具有高图案化辐射吸收截面(例如,等于或大于1
×
107cm2/mol的euv吸收截面)的金属或类金属或原子。在一些实施方案中,m为锡(sn)、铋(bi)、碲(te)、铯(cs)、锑(sb)、铟(in)、钼(mo)、铪(hf)、碘(i)、锆(zr)、铁(fe)、钴(co)、镍(ni)、铜(cu)、锌(zn)、银(ag)、铂(pt)和铅(pb)。在进一步实施方案中,在式(i)、(ii)或(iia)中,m为sn,a为1,且c为4。在其他实施方案中,在式(i)、(ii)或(iia)中,m为sn,a为1,且c为2。在特定实施方案中,m为sn(ii)(例如,在式(i)、(ii)或(iia)中),从而提供作为基于sn(ii)的化合物的前体。在其他实施方案中,m为sn(iv)(例如,在式(i)、(ii)或(iia)中),从而提供作为基于sn(iv)的化合物的前体。在特定实施方案中,前体包括碘(例如,在过碘酸盐,碘取代的烷基、或碘取代的氨基中)。
[0122]
对于本文的任一式,每一个r独立地为h、卤素、任选地经取代的烷基、任选地经取代的环烷基、任选地经取代的环烯基、任选地经取代的烯基、任选地经取代的炔基、任选地经取代的烷氧基(例如,-or1,其中r1可以是任选地经取代的烷基)、任选地经取代的烷酰氧基、任选地经取代的芳基、任选地经取代的氨基、任选地经取代的双(三烷基甲硅烷基)氨基、任选地经取代的三烷基甲硅烷基、氧代基(oxo)、阴离子配位基(例如,环氧(oxido)、氯代基(chlorido)、氢化基(hydrido)、醋酸根、亚胺二醋酸根、丙酸根、丁酸根、苯甲酸根等)、中性配位基、或多齿配位基。在一些实施方案中,r为碘或经碘取代。
[0123]
在一些实施方案中,任选地经取代的氨基为-nr1r2,其中每一r1和r2独立为h或烷基;或其中r1与r2连同各自连接的氮原子一起形成如本文所定义的杂环基。在其他实施方案中,任选地经取代的双(三烷基甲硅烷基)氨基为-n(sir1r2r3)2,其中每一r1、r2和r3独立地为任选地经取代的烷基。在还有的其他实施方案中,任选地经取代的三烷基甲硅烷基为-sir1r2r3,其中每一r1、r2和r3独立地为任选地经取代的烷基。在一些实施方案中,r1和/或r2为碘或经碘取代。
[0124]
在其他实施方案中,该式包括为-nr1r2的第一r(或第一l)以及为-nr1r2的第二r(或第二l),其中每一r
l
和r2独立地为h或任选地经取代的烷基;或其中来自第一r(或第一l)的r1与来自第二r(或第二l)的r1连同各自连接的氮原子及金属原子一起形成如本文所定义的杂环基。在还有的其他实施方案中,该式包括为-or1的第一r以及为-or1的第二r,其中每一r1独立地为h或任选地经取代的烷基;或其中来自第一r的r1与来自第二r的r1连同各自连接的氧原子和金属原子一起形成如本文所定义的杂环基。
[0125]
在一些实施方案中,r或l中的至少一者(例如,在式(i)、(ii)或(iia)中)为任选地经取代的烷基。非限制性烷基包括例如c
nh2n+1
,其中n为1、2、3或更大,例如甲基、乙基、正丙基、异丙基、正丁基、异丁基、仲丁基或叔丁基。在多种实施方案中,r或l具有至少一个β-氢或β-氟或β-碘。在其他实施方案中,r或l中的至少一者为经卤素取代的烷基(例如,经氟取代的烷基和/或经碘取代的烷基)。
[0126]
在一些实施方案中,每一个r或l或至少一个r或l(例如,在式(i)、(ii)或(iia)中)为卤素。尤其是,前体可以是金属卤化物。非限制性金属卤化物包括snbr4、sncl4、sni4以及sbcl3。
[0127]
在一些实施方案中,每一个r或l或至少一个r或l(例如,在式(i)、(ii)或(iia)中)可以包含氮原子。在特定实施方案中,一个或更多r或l可以是任选地经取代的氨基、任选地经取代的单烷基氨基(例如,-nr1h,其中r1为任选地经取代的烷基)、任选地经取代的二烷基氨基(例如,-nr1r2,其中每一r1和r2独立地为任选地经取代的烷基)或任选地经取代的双(三烷基甲硅烷基)氨基。非限制性的r和l取代基可以包括例如-nme2、-nhme、-net2、-nhet、-nmeet、-n(t-bu)-[chch3]
2-n(t-bu)-(tbba)、-n(sime3)2、和-n(siet3)2。
[0128]
在一些实施方案中,每一个r或l或至少一个r或l(例如,在式(i)、(ii)或(iia)中)可以包含硅原子。在特定实施方案中,一个或更多r或l可以是任选地经取代的三烷基甲硅烷基或任选地经取代的双(三烷基甲硅烷基)氨基。非限制性r或l取代基可包括例如-sime3、-siet3、-n(sime3)2、及-n(siet3)2。
[0129]
在一些实施方案中,每一个r或l或至少一个r或l(例如,在式(i)、(ii)或(iia)中)可以包含氧原子。在特定实施方案中,一个或更多r或l可以是任选地经取代的烷氧基或任选地经取代的烷酰氧基。非限制性r或l取代基包括例如甲氧基、乙氧基、异丙氧基(i-pro)、叔丁氧基(t-buo)、醋酸根(-oc(o)-ch3)及-o=c(ch3)-ch=c(ch3)-o-(acac)。
[0130]
本文中任一式可包含一个或更多中性配位基。非限制性中性配位基包括任选地经取代的胺(例如,nr3或r2n-ak-nr2,其中每一r可独立地为h、任选地经取代的烷基、任选地经取代的烃基或任选地经取代的芳基,且ak为任选地经取代的亚烷基)、任选地经取代的膦(例如,pr3或r2p-ak-pr2,其中每一个r可独立地为h、任选地经取代的烷基、任选地经取代的烃基或任选地经取代的芳基,且ak为任选地经取代的亚烷基)、任选地经取代的醚(例如,
or2,其中每一个r可独立地为h、任选地经取代的烷基、任选地经取代的烃基或任选地经取代的芳基)、任选地经取代的烷基、任选地经取代的烯、任选地经取代的炔、任选地经取代的苯、氧代基或一氧化碳。
[0131]
本文中任何式可包含一个或更多的多齿(例如,双齿)配位基。非限制性多齿配位基包括二酮根(例如,乙酰丙酮根(acac)或-oc(r1)-ak-(r1)co-或-oc(r1)-c(r2)-(r1)co)、双齿螯合二氮(例如,-n(r1)-ak-n(r1)-或-n(r3)-cr
4-cr2=n(r1)-)、芳香族(例如,-ar-)、脒根(amidinate)(例如,-n(r1)-c(r2)-n(r1)-)、氨基烷氧根(例如,-n(r1)-ak-o-or-n(r1)
2-ak-o-)、二氮杂二烯基(diazadienyl)(例如,-n(r1)-c(r2)-c(r2)-n(r1)-)、环戊二烯基(cyclopentadienyl)、吡唑特(pyrazolate)、任选地经取代的杂环基、任选地经取代的亚烷基或任选地经取代的亚杂烷基。在特定实施方案中,每一个r1独立地为h、任选地经取代的烷基、任选地经取代的卤代烷基、或任选地经取代的芳基;每一个r2独立地为h或任选地经取代的烷基;r3与r4一起形成任选地经取代的杂环基;ak为任选地经取代的亚烷基;且ar为任选地经取代的亚芳基。
[0132]
在特定实施方案中,前体包括锡。在一些实施方案中,锡前体包括snr或snr2或snr4或r3snsnr3,其中每一r独立地为h、卤素、任选地经取代的c
1-12
烷基、任选地经取代的c
1-12
烷氧基、任选地经取代的氨基(例如,-nr1r2)、任选地经取代的c
2-12
烯基、任选地经取代的c
2-12
炔基、任选地经取代的c
3-8
环烷基、任选地经取代的芳基、环戊二烯基、任选地经取代的双(三烷基甲硅烷基)氨基(例如,-n(sir1r2r3)2)、任选地经取代的烷酰氧基(例如,醋酸根)、二酮根(例如,-oc(r1)-ak-(r2)co-)或双齿螯合二氮(例如,-n(r1)-ak-n(r1)-)。在特定实施方案中,每一个r1、r2和r3独立地为h或c
1-12
烷基(例如,甲基、乙基、异丙基、叔丁基或新戊基);且ak为任选地经取代的c
1-6
亚烷基。在特定实施方案中,每一个r独立地为卤素、任选地经取代的c
1-12
烷氧基、任选地经取代的氨基、任选地经取代的芳基、环戊二烯基或二酮根。非限制性锡前体包括snf2、snh4、snbr4、sncl4、sni4、四甲基锡(snme4)、四乙基锡(snet4)、三甲基氯化锡(snme3cl)、二甲基二氯化锡(snme2cl2)、甲基三氯化锡(snmecl3)、四烯丙基锡、四乙烯基锡、六苯基二锡(iv)(ph3sn-snph3,其中ph为苯基)、二丁基二苯基锡(snbu2ph2)、三甲基(苯基)锡(snme3ph)、三甲基(苯基乙炔基)锡、三环己基氢化锡、三丁基氢化锡(snbu3h)、二醋酸二丁基锡(snbu2(ch3coo)2)、乙酰丙酮锡(ii)(sn(acac)2)、snbu3(oet)、snbu2(ome)2、snbu3(ome)、sn(t-buo)4、sn(n-bu)(t-buo)3、四(二甲基氨基)锡(sn(nme2)4)、四(乙基甲基氨基)锡(sn(nmeet)4)、四(二乙基氨基)锡(iv)(sn(net2)4)、(二甲基氨基)三甲基锡(iv)(sn(me)3(nme2)、sn(i-pr)(nme2)3、sn(n-bu)(nme2)3、sn(s-bu)(nme2)3、sn(i-bu)(nme2)3、sn(t-bu)(nme2)3、sn(t-bu)2(nme2)2、sn(t-bu)(net2)3、sn(tbba)、sn(ii)(1,3-双(1,1-二甲基乙基)-4,5-二甲基-(4r,5r)-1,3,2-二氮杂锡烷醇胺-2-亚基)(sn(ii)(1,3-bis(1,1-dimethylethyl)-4,5-dimethyl-(4r,5r)-1,3,2-diazastannolidin-2-ylidene))、或双[双(三甲基甲硅烷基)氨基]锡(sn[n(sime3)2]2)。
[0133]
在其他实施方案中,前体包括铋,例如于bir3中,其中每一个r独立地为卤素、任选地经取代的c
1-12
烷基、单-c
1-12
烷基氨基(例如,-nr1h)、二-c
1-12
烷基氨基(例如,-nr1r2)、任选地经取代的芳基、任选地经取代的双(三烷基甲硅烷基)氨基(例如,-n(sir1r2r3)2)、或二酮根(例如,-oc(r4)-ak-(r5)co-)。在特定实施方案中,每一r1、r2及r3独立地为c
1-12
烷基(例如,甲基、乙基、异丙基、叔丁基或新戊基);每一个r4和r5独立地为h或任选地经取代的c
1-12
烷基(例如,甲基、乙基、异丙基、叔丁基或新戊基)。非限制性的铋前体包括bicl3、bime3、biph3、bi(nme2)3、bi[n(sime3)2]3、及bi(thd)3,其中thd为2,2,6,6-四甲基-3,5-庚二酮根(2,2,6,6-tetramethyl-3,5-heptanedionate)。
[0134]
在其他实施方案中,前体包括碲,例如ter2或ter4,其中每一个r独立地为卤素、任选地经取代的c
1-12
烷基(例如,甲基、乙基、异丙基、叔丁基以及新戊基)、任选地经取代的c
1-12
烷氧基、任选地经取代的芳基、羟基、氧代基或任选地经取代的三烷基甲硅烷基。非限制性的碲前体包括二甲基碲(teme2)、二乙基碲(teet2)、二(正丁基)碲(te(n-bu)2)、二(异丙基)碲(te(i-pr)2)、二(叔丁基)碲(te(t-bu)2)、叔丁基氢化碲(te(t-bu)(h))、te(oet)4、双(三甲基甲硅烷基)碲(te(sime3)2)以及双(三乙基甲硅烷基)碲(te(siet3)2)。
[0135]
本文描述了另外的其他前体及非限制性的取代基。例如,前体可以是具有如上所述的式(i)、(ii)及(iia);或如下所述的式(iii)、(iv)、(v)、(vi)、(vii)或(viii)的结构的任一者。本文所述的任一取代基m、r、x或l可用于式(i)、(ii)、(iia)、(iii)、(iv)、(v)、(vi)、(vii)或(viii)中。
[0136]
非限制性前体包括具有下式(iii)的金属卤化物:mxn,
ꢀꢀꢀ
(iii)其中,m为金属,x为卤素(例如,氟、氯、溴、或碘),且n为2至4(具体取决于m的选择)。m的非限制性金属包括sn、te、bi、sb或in。非限制性金属卤化物包括snbr4、sncl4、sni4及sbcl3。
[0137]
另一非限制性前体包括具有式(iv)的结构:mrn,
ꢀꢀꢀ
(iv)其中m为金属;每一个r独立地为h、任选地经取代的烷基、氨基(例如,-nr2,其中每一个r独立地为烷基)、任选地经取代的(三烷基甲硅烷基)酰氨基(例如,-n(sir3),其中每一个r独立地为烷基)、或任选地经取代的三烷基甲硅烷基(例如,-sir3,其中每一个r独立地为烷基);且n为2至4(具体取决于m的选择)。m的非限制性金属包括sn、te、bi、sb或in。烷基可以是c
nh2n+1
,其中n为1、2、3或更大。非限制性有机金属剂包括sn(me)4、sn(et)4、tern、rter、rteh、叔丁基氢化碲(te(t-bu)(h))、二甲基碲(teme2)、二叔丁基碲(te(t-bu)2)、二(异丙基)碲(te(i-pr)2)、双(三甲基甲硅烷基)碲(te(sime3)2)、双(三乙基甲硅烷基)碲(te(siet3)2)、三(双(三甲基甲硅烷基)酰氨基)铋(bi[n(sime3)2]3)、sb(nme2)3及类似物。
[0138]
含金属前体可包括具有下式(v)的覆盖剂:mln,
ꢀꢀꢀ
(v)其中m为金属;每一个l独立地为任选地经取代的烷基、氨基(例如,-nr1r2,其中每一r1和r2可以是h或烷基,例如本文所述的任一者)、烷氧基(例如,-or,其中r为烷基,例如本文所述的任一者)、卤素或其他有机取代基;且n为2至4,具体取决于m的选择。m的非限制性金属包括sn、te、bi、sb、或in。非限制性的配位基包括二烷基氨基(例如,二甲基氨基、甲基乙基氨基以及二乙基氨基)、烷氧基(例如,叔丁氧基及异丙氧基)、卤素(例如,f、cl、br以及i)、或其他有机取代基(例如,乙酰丙酮或n2,n
3-二叔丁基-丁烷-2,3-二氨基)。非限制性覆盖剂包括sncl4;sni4;sn(nr2)4,其中每一个r独立地为甲基或乙基;或sn(t-buo)4。在一些实施方案中,存在多种类型的配位基。
[0139]
含金属前体可包括具有下式(vi)的被烃基取代的覆盖剂:rn
mxm,
ꢀꢀꢀ
(vi)其中m为金属,r为具有β-氢的c
2-10
烷基或经取代的烷基,且x为与暴露羟基中的羟基反应后合适的离去基。在多种实施方案中,只要m>0(或m≥1),则n=1至3,且m=4

n、3

n或2

n。例如,r可以是叔丁基、叔戊基、叔己基、环己基、异丙基、异丁基、仲丁基、正丁基、正戊基、正己基或其在β位置中具有杂原子取代基的衍生物。合适的杂原子包括卤素(f、cl、br或i)、或氧(-oh或-or)。x可以是二烷基氨基(例如,二甲基氨基、甲基乙基氨基或二乙基氨基)、烷氧基(例如,叔丁氧基、异丙氧基)、卤素(例如,f、cl、br或i)、或另一有机配位基。被烃基取代的覆盖剂的示例包括叔丁基三(二甲基氨基)锡(sn(t-bu)(nme2)3)、正丁基三(二甲基氨基)锡(sn(n-bu)(nme2)3)、叔丁基三(二乙基氨基)锡(sn(t-bu)(net2)3)、二(叔丁基)二(二甲基氨基)锡(sn(t-bu)2(nme2)2)、仲丁基三(二甲基氨基)锡(sn(s-bu)(nme2)3)、正戊基三(二甲基氨基)锡(sn(n-pentyl)(nme2)3)、异丁基三(二甲基氨基)锡(sn(i-bu)(nme2)3)、异丙基三(二甲基氨基)锡(sn(i-pr)(nme2)3)、叔丁基三(叔丁氧基)锡(sn(t-bu)(t-buo)3)、正丁基三(叔丁氧基)锡(sn(n-bu)(t-buo)3)或异丙基三(叔丁氧基)锡(sn(i-pr)(t-buo)3)。
[0140]
在多种实施方案中,含金属前体在每一金属原子上包括至少一个可以在气相反应中留存的烷基,而与该金属原子配位的其他配位基或离子可被逆反应物取代。据此,另一非限制性含金属前体包括具有式(vii)的有机金属剂:marblc,
ꢀꢀꢀ
(vii)其中m为金属;r为任选地经取代的烷基;l为与逆反应物具反应性的配位基、离子或其他部分;a≥1;b≥1;且c≥1。在特定实施方案中,a=1,且b+c=4。在一些实施方案中,m为sn、te、bi、sb、或in。在特定实施方案中,每一l独立地为氨基(例如,-nr1r2,其中每一r1及r2可以是h或烷基,例如本文所述的任一者)、烷氧基(例如,-or,其中r为烷基,例如本文所述的任一者)、或卤素(例如,f、cl、br或i)。非限制性的试剂包括snme3cl、snme2cl2、snmecl3、snme(nme2)3、snme3(nme2)和类似物。
[0141]
在其他实施方案中,非限制性含金属前体包括具有式(viii)的有机金属剂:malc,
ꢀꢀꢀ
(viii)其中m为金属;l为与逆反应物具反应性的配位基、离子或其他部分;a≥1;且c≥1。在特定实施方案中,c=n-1,且n为2、3或4。在一些实施方案中,m为sn、te、bi、sb、或in。逆反应物优选地具有取代反应性部分、配位基或离子(例如,本文式中的l)以通过化学键合连接至少两金属原子的能力。
[0142]
在本文的任一实施方案中,r可以是任选地经取代的烷基(例如,c
1-10
烷基)。在一实施方案中,烷基被一个或更多卤素取代(例如,卤素取代的c
1-10
烷基,其包括一个、两个、三个、四个或更多卤素,例如,f、cl、br或i)。非限制性的r取代基包括c
nh2n+1
,优选地为其中n≥3;以及c
nfxh(2n+1-x)
,其中1≤x≤2n+1且n≥1;以及c
nixh(2n+1-x)
,其中1≤x≤2n+1且n≥1。在多种实施方案中,r具有至少一个β-氢或β-氟或β-碘。例如,r可选自由异丙基、正丙基、叔丁基、异丁基、正丁基、仲丁基、正戊基、异戊基、叔戊基、仲戊基及其混合物所组成的群组。
[0143]
在本文任一实施方案中,l可以是易被逆反应物取代以产生m-oh部分的任何部分,例如选自由氨基(例如,-nr1r2,其中r1和r2中的每一者可以是h或烷基,例如本文所述的任一者)、烷氧基(例如,-or,其中r为烷基,例如本文所述的任一者)、羧酸根、卤素(例如,f、
cl、br或i)及其混合物所组成的群组的部分。
[0144]
还有的其他非限制性有机金属剂包括snmecl3、(n2,n
3-二叔丁基-丁烷-2,3-二氨基)锡(ii)(sn(tbba))、双(双(三甲基甲硅烷基)酰氨基)锡(ii)、四(二甲基氨基)锡(iv)(sn(nme2)4)、叔丁基三(二甲基氨基)锡(sn(t-butyl)(nme2)3)、异丁基三(二甲基氨基)锡(sn(i-bu)(nme2)3)、正丁基三(二甲基氨基)锡(sn(n-bu)(nme2)3)、仲丁基三(二甲基氨基)锡(sn(s-bu)(nme2)3)、异丙基(三)二甲基氨基锡(sn(i-pr)(nme2)3)、正丙基三(二乙基氨基)锡(sn(n-pr)(net2)3)以及类似物烷基(三)(叔丁氧基)锡化合物,例如叔丁基三(叔丁氧基)锡(sn(t-bu)(t-buo)3)、叔丁基氢化碲、二(叔丁基)碲、二(异丙基)碲或双(三甲基甲硅烷基)碲。在一些实施方案中,有机金属剂被部分地氟化。
[0145]
这种前体可单独使用以形成euv抗蚀剂材料或可以与一种或更多逆反应物组合使用。逆反应物优选地具有取代反应性部分、配位基或离子(例如,本文式中的l)以通过化学键合连接至少两金属原子的能力。示例性的逆反应物包括含氧的逆反应物,例如氧(o2)、臭氧(o3)、水、过氧化物(例如,过氧化氢)、氧等离子体、水等离子体、醇、二羟基醇、多羟基醇、氟化二羟基醇、氟化多羟基醇、氟化二醇(fluorinated glycols)、甲酸及其他羟基部分的来源、以及其组合。在多种实施方案中,逆反应物通过在相邻金属原子之间形成氧桥而与有机金属前体反应。其他潜在的逆反应物包括硫化氢和二硫化氢,其可通过硫桥使金属原子交联;以及双(三甲基甲硅烷基)碲,其可通过碲桥使金属原子交联。另外,可利用碘化氢将碘结合至膜中。
[0146]
此外,可以在敏化膜内使用两种或更多不同的前体。例如,可使用本文的任何含金属前体中的两者或更多者,其中一前体包括euv敏化剂,而另一者则不包括。在一非限制性实例中,碲化锡可通过使用包括有nr2配位基的锡前体与rteh、rted或r2te前体来形成,其中r为烷基,特别是叔丁基或异丙基。在另一实例中,金属碲化物可通过使用包含有烷氧基或卤素配位基(例如,sbcl3)的第一金属前体与包含有三烷基甲硅烷基配位基(例如,双(三甲基甲硅烷基)碲)的含碲前体来形成。
[0147]
euv抗蚀剂材料或其前体可以任何有用的形式提供。在一实施方案中,euv抗蚀剂材料或其前体以气体形式提供。在特定实施方案中,euv抗蚀剂材料或其前体被提供为惰性载气(例如,h2、he、ar或n2)中的蒸气。在其他实施方案中,euv抗蚀剂材料或其前体被提供作为气体。euv抗蚀剂材料或其前体还可提供作为等离子体(例如,本文所述的rf等离子体或任何其他等离子体条件),其可用于产生反应性物质。
[0148]
还有的其他非限制性euv抗蚀剂材料以及处理方法和装置描述于美国专利案no.9,996,004;国际专利公开no.wo 2020/102085;以及国际专利公开no.wo 2019/217749中,其每一者整体内容均以引用方式并于本文中。光刻工艺
[0149]
euv光刻利用euv抗蚀剂,其可以是通过基于液体的旋涂技术所产生的基于聚合物的化学放大抗蚀剂或通过干式气相沉积技术所产生的基于金属氧化物的抗蚀剂。光刻方法可包括例如通过用euv辐射进行euv抗蚀剂暴露来对抗蚀剂进行图案化以形成光图案,接着通过根据光图案去除抗蚀剂的一部分来对图案进行显影以形成掩模。
[0150]
还应当理解,虽然本公开涉及光刻图案化技术和以euv光刻术为例的材料,但它也适用于其他下一代光刻技术。除了包括目前正在使用和开发的标准13.5nm euv波长的euv
以外,与这种光刻术最相关的辐射源是duv(深紫外),其一般指使用248nm或193nm准分子激光源;x射线,其正式包括x射线范围的较低能量范围内的euv;以及电子束,其可以覆盖很宽的能量范围。这种方法包括使衬底(例如,可选地具有暴露羟基)与含金属前体(例如,本文所述的任一者)接触以形成金属氧化物(例如,包含有金属氧化物键的网络的层,其可包括其他非金属和非氧基团)膜以作为衬底表面上的成像/pr层的那些方法。具体方法可能取决于半导体衬底和最终半导体器件中使用的特定材料和应用。因此,本技术中描述的方法仅仅是可用于本技术的方法和材料的示例。
[0151]
直接可光图案化的euv抗蚀剂可由混合在有机成分内的金属和/或金属氧化物所组成、或包含它们。金属/金属氧化物是非常有前景的,因为它们可增强euv光子吸附并且产生二次电子和/或对下伏的膜堆叠和设备层显示出增加的蚀刻选择性。迄今为止,已经使用湿式(溶剂)方案进行这些光致抗蚀剂的显影,湿式方案需要将晶片移动至轨道(track),在该处使晶片暴露于显影剂、干燥以及烘烤。湿式显影不仅限制产量,而且还可能由于精细特征之间的溶剂蒸发过程中的表面张力效应而导致线崩塌。
[0152]
已经提出干式显影技术以通过消除衬底分层和界面失效来克服这些问题。干式显影有其自身的挑战,包括未暴露和euv暴露的抗蚀剂材料之间的蚀刻选择性,这可能导致与湿式显影相比,对有效抗蚀剂暴露的剂量尺寸比要求更高。由于在蚀刻气体下暴露时间较长,次优选择性也会导致pr角变圆,这可能增加后续传送蚀刻步骤中的线cd变化。光刻期间所采用的额外工艺于下详细叙述。沉积工艺,包括干式沉积
[0153]
如上文所讨论的,本公开提供在半导体衬底上制造成像层的方法,其可使用euv或其他下一代光刻技术来图案化。方法包括以蒸气产生聚合的有机金属材料并将其沉积在衬底上的那些方法。在一些实施方案中,干式沉积可以采用任何有用的含金属前体(例如,本文所述的金属卤化物、覆盖剂或有机金属剂)。在其他实施方案中,可使用旋涂制剂。沉积工艺可包括涂敷euv抗蚀剂材料作为抗蚀剂膜和/或作为具有一种或更多euv敏化剂的敏化膜。
[0154]
本技术包括将euv抗蚀剂薄膜沉积在衬底上的方法,这些薄膜可用作随后的euv光刻和处理的抗蚀剂。这种euv抗蚀剂膜包含在暴露于euv时发生变化的材料,例如在低密度的富含m-oh的材料中与金属原子键合的庞大侧链配位基的损失,从而允许它们交联成更致密的m-o-m键合的金属氧化物材料。通过euv图案化,产生相对于未暴露区域具有改变的物理或化学特性的膜区域。
[0155]
这些特性可以在后续处理中利用,例如溶解未暴露或暴露的区域,或者在暴露或未暴露的区域上选择性地沉积材料。在一些实施方案中,在进行这种后续处理的条件下,未暴露的膜具有疏水表面,并且暴露的膜具有亲水表面(应认识到暴露区域和未暴露区域的亲水特性彼此相关)。例如,材料的去除可以通过平衡膜的化学组成、密度和交联的差异来进行。如本文进一步描述的那样,去除可以通过湿式处理或干式处理进行。
[0156]
形成在衬底表面上的可euv图案化膜的厚度可根据表面特性、使用的材料和处理条件而变化。在各种实施方案中,膜厚度可以在约0.5nm至约100nm的范围内。优选地,膜具有足够的厚度以在euv图案化的条件下吸收大部分euv光。例如,抗蚀剂膜的总吸收度可以是30%或更少(例如,10%或更少,或5%或更少),使得抗蚀剂膜底部的抗蚀剂材料被充分
暴露。在一些实施方案中,膜厚度为10nm至20nm。在不限制本公开内容的机制、功能或效用的情况下,据信,与本领域的湿式旋涂工艺不同,本公开的工艺对衬底的表面粘附性能具有较少限制,因此可应用于多种衬底。此外,如上文所讨论的,沉积膜可以与表面特征紧密贴合(conform),从而在无需“填充”或以其他方式平坦化这种特征的情况下,在诸如具有下伏特征的衬底之类的衬底上方形成掩模方面提供优势。
[0157]
膜(例如,成像层)可由以任何有用方式沉积的金属氧化物层所构成。这种金属氧化物层可通过使用本文所述的任何euv抗蚀剂材料来沉积或涂敷,例如使用含金属前体(例如,金属卤化物、覆盖剂或有机金属剂)。在非限制性工艺中,聚合的有机金属材料是在衬底表面上以气相或原位形成,以提供金属氧化物层。金属氧化物层可用作膜或粘附层(例如,介于衬底与该膜之间)。
[0158]
可选地,金属氧化物层可包括羟基封端金属氧化物层,其可通过使用覆盖剂(如本文所述的任一者)与含氧逆反应物来沉积。这种羟基封端金属氧化物层可用作例如两其他层之间(例如衬底与膜之间)的粘附层。
[0159]
非限制性沉积技术(例如,用于膜)包括本文所述的任一者,例如ald(例如,热ald和等离子体增强ald)、旋涂沉积、包括pvd共溅镀的pvd、cvd(例如,pe-cvd或lp-cvd)、溅镀沉积、包括电子束共蒸镀的电子束沉积等,或其组合,例如具有cvd组分的ald,例如含金属前体与逆反应物在时间或空间上分开的不连续类ald工艺。
[0160]
一般而言,沉积可以包括将含金属前体(例如,本文所述的任一者,例如金属卤化物、覆盖剂或有机金属剂)的蒸气流与逆反应物的蒸气流混合,并将有机金属材料沉积至半导体衬底的表面上。在一些实施方案中,将含金属前体与逆反应物混合而形成聚合的有机金属材料。如本领域技术人员能理解的,工艺的混合与沉积方面可以在基本上连续的工艺中同时进行。
[0161]
在一些实施方案中,该沉积为ald,呈沉积含金属前体(例如,本文所述的任一者,如金属卤化物、覆盖剂或有机金属剂)与沉积逆反应物(例如,含氧的逆反应物)的循环工艺。可用于本文沉积金属氧化物层的材料和工艺描述于nazarov dv et al.,“atomic layer deposition of tin dioxide nanofilms:a review,”40rev.adv.mater.sci.262-275(2015)中。
[0162]
在非限制性连续cvd工艺中,将含金属前体(例如,本文所述的任一者,如金属卤化物、覆盖剂或有机金属剂)与逆反应物源的两个或更多气流(在分开入口路径中)引导至cvd装置的沉积室中,在此其于气相中混合并反应,以在衬底上形成膜。可例如使用双气室喷头来引入这种流。该装置被配置成使得含金属前体与逆反应物流于室中混合,从而允许试剂与逆反应物反应,以形成膜(例如,金属氧化物涂层或团聚的聚合物材料,例如通过金属-氧-金属键形成而形成)。
[0163]
为了沉积金属氧化物,cvd工艺一般是在减压下进行,例如从0.1托(torr)至10托(torr)。在一些实施方案中,该工艺是在1torr至2torr的压强下进行。衬底的温度优选地是低于反应物流的温度。例如,衬底温度可以是0℃至250℃,或环境温度(例如23℃)至150℃。
[0164]
为了沉积团聚的聚合物材料,cvd工艺通常在减压(例如从10mtorr至10torr)下进行。在一些实施方案中,该工艺是在0.5至2torr下进行。衬底的温度优选地等于或低于反应物流的温度。例如,衬底温度可以是0℃至250℃,或环境温度(例如,23℃)至150℃。在多种
工艺中,聚合的有机金属材料的沉积以与表面温度成反比的速率发生。在不限制本技术的机制、功能或实用性的情况下,据信来自这种气相反应的产物因金属原子通过逆反应物交联而变成更大分子量,而后产物接着凝结或沉积在衬底上。在多种实施方案中,大体积烷基的立体障碍阻止形成致密堆积网,并且产生多孔性低密度膜。
[0165]
使用干式沉积方法的潜在优势是易于在其生长时调节膜的组成。在cvd工艺中,这可通过在沉积期间改变两种或更多含金属前体的相对流量来完成。沉积可在介于30℃与200℃之间且压强介于0.01torr至100torr之间发生,但更一般地介于约0.1torr与10torr之间。
[0166]
膜(例如,通过金属-氧-金属键形成而形成的金属氧化物涂层或团聚的聚合材料)还可通过ald工艺来沉积。例如,在分开时间引入含金属前体和逆反应物,其代表ald循环。前体在表面上反应,从而在每一循环一次形成多达一层材料。这可允许很好地控制整个表面上的膜厚度的均匀性。ald工艺一般是在减压(例如从0.1torr至10torr)下进行。在一些实施方案中,该工艺在1torr至2torr下进行。衬底温度可以是0℃至250℃,或环境温度(例如,23℃)至150℃。该工艺可以是热工艺,或优选为等离子体辅助沉积。
[0167]
本文中任一沉积方法均可被修改成使得能使用两种或更多不同含金属前体。在一实施方案中,前体可包括相同金属但不同配位基。在另一实施方案中,前体可包括不同金属基团。在一非限制性实例中,多种挥发性含金属前体的交替流动可提供混合的金属层,例如使用不具敏化剂的金属前体与具有euv敏化剂(例如,i)的另一金属前体来进行。
[0168]
此外,本文中任一沉积方法均可被修改成在膜内提供一个或更多层。在一实例中,可在每一层中使用不同的前体。在另一实例中,每一层可使用相同前体,但可处理最顶层(例如,通过使用等离子体以去除沉积层内的一个或更多配位基),以提供不同化学组成(例如,不同密度的金属-配位基键)。
[0169]
可在任何有用的表面上采用沉积工艺。如本文所提及的,“表面”为其上将沉积本技术的膜或在处理期间将暴露于euv的表面。这种表面可存在于衬底上(例如,膜将沉积于其上)或膜上(例如,可在其上执行进一步后处理且可在其上执行反应以促进euv暴露区或euv未暴露区内的蚀刻)。
[0170]
可采用任何有用的衬底,其包括适用于光刻加工的任何材料构造,特别是适用于集成电路和其他半导体器件的生产。在一些实施方案中,衬底是硅晶片。衬底可以是具有不规则表面形貌的硅晶片,其上已经形成了特征(“下伏的形貌特征”)。
[0171]
这种下伏的形貌特征可以包括在进行该技术的方法之前在处理期间其中已经去除(例如,通过蚀刻去除)材料的区域或其中已经添加(例如,通过沉积添加)材料的区域。这种在先处理可包括该技术的方法或迭代工艺(通过该迭代工艺在衬底上形成两个或更多个特征层)中的其他处理方法。在不限制本技术的机制、功能或效用的情况下,据信在一些实施方案中,本技术的方法提供相对于本领域已知的使用旋转浇铸方法将光刻膜沉积在衬底表面上的方法的优势。这种优势可源自本技术的膜与下层特征的一致性而无需“填充”或以其他方式平坦化这种特征,以及在多种材料表面上沉积膜的能力。
[0172]
在一些实施方案中,可利用具有期望的材料的衬底表面制备传入晶片,其中最上面的材料是其中转移有抗蚀剂图案的层。虽然材料选择可根据集成度而变化,但通常希望选择能够以对euv抗蚀剂或成像层的高选择性(即比之快得多地)蚀刻的材料。合适的衬底
材料可包括各种基于碳的膜(例如可灰化硬掩模(ahm))、硅基膜(例如,硅、氧化硅、氮化硅、氮氧化硅或氮碳氧化硅,以及其掺杂形式,包括sio
x
、sio
x
ny、sio
xcy
nz、a-si:h、多晶硅或sin)、或施加以促进图案化工艺的任何其他(通常是牺牲性的)膜。
[0173]
在一些实施方案中,衬底是硬掩模,其用于下伏的半导体材料的光刻蚀刻。硬掩模可以包括多种材料中的任何一种,包括无定形碳(a-c)、sno
x
、sio2、sio
x
ny、sio
x
c、si3n4、tio2、tin、w、w-掺杂的c、wox、hfo2、zro2、和al2o3。例如,衬底可以优选地包括sno
x
,例如sno2。在各种实施方案中,该层可以是1nm至100nm厚,或2nm至10nm厚。
[0174]
在一些非限制性实施方案中,衬底包括底层。底层可以沉积在硬掩模或其他层(或膜)上,并且通常位于如本文所述的成像层下方。底层可用于提高pr的灵敏度、增加euv吸收率和/或增加pr的图案化性能。在要图案化的衬底上存在产生显著形貌的器件特征的情况下,底层的另一个重要功能可以是覆盖和平面化现有的形貌,以便随后的图案化步骤可以在具有所有焦点图案区域的平坦表面上执行。对于这种应用,底层(或多个底层中的至少一者)可以使用旋涂技术来涂敷。当所采用的pr材料具有大量的无机成分时,例如其显示出主要的金属氧化物骨架,则底层可有利地为基于碳的膜,其可通过旋涂或通过基于干式真空的沉积工艺来涂敷。该层可以包括具有基于碳及氢的组成的各种可灰化硬掩模(ahm)膜,并可掺杂有例如钨、硼、氮或氟之类的额外元素。
[0175]
在一些实施方案中,表面活化操作可用于活化表面(例如,衬底及/或膜的表面)以用于进一步操作。例如,对于sio
x
表面,可使用水或氧/氢等离子体在表面上产生羟基。对于基于碳或烃的表面,可使用诸多处理(例如,水、氢/氧或co2等离子体或臭氧处理),以产生羧酸/或羟基。这种方法可证明改善抗蚀剂特征对衬底的粘附是关键的,否则其可能会在处理期间或显影期间的溶剂中分层或剥离。
[0176]
还可通过在表面中引起粗糙度以增强可用于相互作用的表面积以及直接改善机械粘附来提高粘附。例如,首先可利用使用ar的溅射工艺或其他非反应性离子轰击来产生粗糙表面。接着,该表面可用如上所述的所期望的表面官能性(例如,羟基和/或羧酸基)进行封端。在碳上,可采用组合方法,其中可使用具化学反应性的含氧等离子体,例如co2、o2、h2o(或h2与o2的混合物)来蚀刻去除局部不均匀的膜的薄层,同时以-oh、-ooh或-cooh基团封端。这可在有偏压或无偏压下完成。结合上述表面改性策略,该方法可发挥表面粗糙化以及衬底表面化学活化的双重目的,用于直接粘附至基于无机金属氧化物的抗蚀剂上,或作为中间表面改性以进一步官能化。
[0177]
在多种实施方案中,该表面(例如,衬底和/或膜的表面)在其表面上包含暴露的羟基。一般而言,该表面可以是包含暴露羟基表面的任何表面或已被处理以产生暴露羟基表面的任何表面。可通过使用氧等离子体、水等离子体或臭氧的衬底的表面处理而在表面上形成这种羟基。在其他实施方案中,可对膜的表面进行处理,以提供暴露的羟基。在多种实施方案中,羟基封端的金属氧化物层具有0.1nm至20nm、或0.2nm至10nm、或0.5nm至5nm的厚度。euv暴露工艺
[0178]
膜的euv暴露可提供具有包括金属原子(m)的活化反应中心(其通过euv介导的裂解事件而产生)的euv暴露区。这种反应中心可包括悬空金属键、m-h基团、裂解的m-配位基基团、或二聚化的m-m键。在特定实施方案中,euv暴露后,改性界面的配位基就进行β-氢消
去,导致在界面处形成m-h键。在该阶段,或在暴露后烘烤期间,m-h键会与抗蚀剂发生反应,以在界面上形成m-o-m桥,从而有效地提高暴露区域中的膜的粘附。
[0179]
euv暴露在真空环境中可以具有约10nm至约20nm的波长,例如10nm至15nm的波长,如13.5nm。尤其是,图案化可提供euv暴露区和euv未暴露区,以形成图案。
[0180]
本技术可包括使用euv以及duv或电子束进行图案化。在这种图案化中,辐射聚焦在成像层的一个或多个区域上。典型地进行暴露使得成像层膜包括一个或多个未暴露于辐射的区域。所得成像层可以包括多个暴露和未暴露区域,从而产生与半导体器件的晶体管或其他特征的产生一致的图案,其通过在衬底的后续处理中从衬底添加或去除材料而形成。此处有用的euv、duv和电子束辐射方法和设备包括本领域已知的方法和设备。
[0181]
在一些euv光刻技术中,有机硬掩模(例如,pecvd非晶氢化碳的可灰化硬掩模)使用常规光致抗蚀剂工艺进行图案化。在光致抗蚀剂暴露期间,euv辐射在抗蚀剂以及下方的衬底中被吸收,从而产生高能量的光电子(例如,约100ev)而后是侧向扩散若干纳米的一连串低能量的二次电子(例如,约10ev)。这些电子增加抗蚀剂中化学反应的程度,其增强其euv剂量的敏感性。然而,本质上为随机的二次电子图案被叠加在光学图像上。该不希望有的二次电子暴露在图案化抗蚀剂中导致分辨率下降、显著的线边缘粗糙度(ler)以及线宽变化。这些缺陷在随后图案转移蚀刻期间复制到待图案化的材料中。
[0182]
不同于绝缘材料(例如,光致抗蚀剂),金属不易受到二次电子暴露效应的影响,因为二次电子会通过传导电子的散射快速失去能量并热化。适用于该工艺的金属元素可包括但不限于铝、银、钯、铂、铑、钌、铱、钴、钌、锰、镍、铜、铪、钽、钨、镓、锗、锡、锑,或其任何组合。然而,用于将毯覆式金属膜图案化成掩模的光致抗蚀剂中的电子散射仍会导致不可接受的效应,例如ler。
[0183]
本文公开了真空集成金属硬掩模处理和相关真空集成硬件,其将膜形成(沉积/冷凝)和光学光刻术组合,结果极大地改进了euv光刻(euvl)性能——例如降低的线边缘粗糙度。
[0184]
在本文所述的各种实施方案中,可使用沉积(例如,冷凝)处理(例如,在pecvd工具,例如lam 中进行的ald或mocvd)来形成含金属的膜(例如光敏金属盐)或含金属的有机化合物(有机金属化合物)的薄膜,其在euv中具有强吸收度(例如,在10nm至20nm量级的波长下),例如在euvl光源的波长下(例如,13.5nm=91.8ev)。该膜在euv暴露时发生光分解并且在(例如,在导体蚀刻工具,如lam 中进行)后续蚀刻期间形成作为图案转移层的金属掩模。
[0185]
在沉积之后,通过暴露于euv光束,通常在相对高的真空下,使可euv图案化薄膜图案化。对于euv暴露,然后可以将含金属的膜沉积在与光刻平台(例如,晶片步进机,例如由荷兰veldhoven的asml提供的twinscan nxe:平台)集成的室中,并在真空下传送,以便在暴露前不要反应。由于环境气体(如h2o、o2等)对入射光子的强光吸收,euvl还需要大大降低的压力,这个事实促进了与光刻工具的集成。在其他实施方案中,光敏金属膜沉积和euv暴露可以在同一个室内进行。显影工艺,包括干式显影
[0186]
可采用任何有用的显影工艺以去除euv暴露区或去除euv未暴露区。在一实施方案中,euv暴露区可具有m-h基团,其可通过采用一种或更多干式显影工艺(例如,卤化物化学)
来选择性地去除。在另一实施方案中,euv暴露区可进行进一步交联,而euv未暴露区可通过采用一种或更多干式显影工艺(例如,卤化物化学过程)来选择性地去除。
[0187]
干式显影工艺可以包括使用卤化物,例如基于hcl或hbr的工艺。尽管本发明不限于任何特定理论或操作机制,但该方法应理解为使用蒸气或等离子体,利用干式沉积的euv光致抗蚀剂膜与清洁化学物质(例如,hcl、hbr及bcl3)的化学反应性,以形成挥发性产物。干式沉积的euv光致抗蚀剂膜可以高达1nm/s的蚀刻速率来去除。通过这些化学过程所进行的干式沉积euv光致抗蚀剂膜的快速去除可用于室清洁、背侧清洁、斜面清洁以及pr显影。虽然可使用诸多温度下的蒸气(例如,温度高于-10℃的hcl或hbr、或温度高于80℃的bcl3)去除膜,但还可使用等离子体以进一步加快或增强反应性。
[0188]
等离子体工艺包括采用本领域已知的装备及技术的变压耦合式等离子体(tcp)、感应耦合式等离子体(icp)或电容耦合式等离子体(ccp)。例如,可在>5mtorr(例如,>15mtorr,如20mtorr至100mtorr)的压强下,在<1000w(例如,<500w)的功率电平下进行工艺。温度可以是30℃至300℃(例如,30℃至120℃),流速为100至1000每分钟标准立方厘米(sccm),例如,约500sccm,持续1至3000秒(例如,10秒至600秒)。
[0189]
在卤化物反应物流为氢气和卤化物气体的情况下,使用远程等离子体/uv辐射,以从h2与cl2和/或br2产生自由基,且氢及卤化物自由基流至反应室,以接触晶片的衬底层上的图案化euv光致抗蚀剂。合适的等离子体功率可以在100w至500w范围内,无偏压。应当理解,尽管这些条件适用于一些处理反应器,例如可从lam research corporation(fremont,ca)获得的kiyo蚀刻工具,但根据处理反应器的能力可使用广泛范围的工艺条件。
[0190]
在热显影工艺中,衬底是在真空室(例如,炉)中暴露于干式显影化学物质(例如,刘易斯酸)。合适的室可包括真空管线、干式显影卤化氢化学气体(例如,hbr、hcl)管线以及用于温度控制的加热器。在一些实施方案中,室内部可涂有抗腐蚀膜,例如有机聚合物或无机涂层。一种这样的涂层为聚四氟乙烯((ptfe),例如,teflon 1m)。这种材料可用于本发明的热工艺中而无被等离子体暴露去除的风险。
[0191]
干式显影的工艺条件可以是100sccm至500sccm反应物流量(例如,500sccm hbr或hcl)、-10℃至120℃(例如,-10℃)的温度、20mtorr至500mtorr(例如,300mtorr)的压强、无等离子体下且持续约10秒至1分钟的时间,具体取决于光致抗蚀剂膜及其组成与性质。
[0192]
在多种实施方案中,本发明的方法结合通过气相沉积的成膜、(euv)光刻光图案化及干式显影的所有干式步骤。在这些工艺中,在euv扫描仪中进行光图案化之后,衬底可直接进入干式显影/蚀刻室。这些工艺可避免湿式显影相关的材料和生产成本。干式工艺还可提供更多的可调性,并予以进一步cd控制和/或浮渣清除。
[0193]
在多种实施方案中,euv光致抗蚀剂(含有一些金属、金属氧化物和有机成分的含量)可通过热、等离子体(例如,可能包括光活化等离子体,例如灯加热或uv灯加热)、或热与等离子体方法的混合并使包含有式r
x
zy的化合物的干式显影气体流动(其中r=b、al、si、c、s、so,x>0,且z=cl、h、br、f、ch4,且y>0)来进行干式显影。干式显影可产生正型,其中r
x
zy物质选择性去除暴露的材料,留下未暴露的对应部分作为掩模。在一些实施方案中,基于有机锡氧化物的光致抗蚀剂膜的暴露部分根据本发明通过干式显影去除。正型干式显影可通过euv暴露区的选择性干式显影(去除)来实现,euv暴露区暴露于包含有卤化氢或氢与卤化物(包括hcl及/或hbr)的物流而未激励等离子体、或h2与cl2和/或br2的物流且具远程等离
子体或等离子体产生的uv辐射以产生自由基。涂敷后工艺
[0194]
本文的方法可包括任何有用的涂敷后工艺,如下所述。
[0195]
对于背侧和斜面清洁工艺,可将蒸气和/或等离子体限制于晶片的特定区域,以确保仅去除背侧和斜面,而晶片的前侧上未有任何膜降解。去除的干式沉积euv光致抗蚀剂膜一般由sn、o和c所构成,但相同的清洁方法可扩展至其他金属氧化物抗蚀剂和材料的膜上。此外,该方法还可用于膜剥离和pr重加工。
[0196]
用于干式斜面和背侧清洁的合适工艺条件可以是100sccm至500sccm的反应物流量(例如,500sccm hcl、hbr、或h2与cl2或br2、bcl3或h2)、-10℃至120℃(例如,20℃)的温度、20mtorr至500mtorr(例如,300mtorr)的压强、高频下(例如,13.56mhz)的0至500w等离子体功率、持续约10秒至20秒时间,具体取决于光致抗蚀剂膜以及组成与性质。应当理解,尽管这些条件适用于一些处理反应器,例如可从lam research corporation(fremont,ca)获得的kiyo蚀刻工具,但根据处理反应器的能力可使用广泛范围的工艺条件。
[0197]
光刻工艺通常涉及一个或多个烘烤步骤,以促进在光致抗蚀剂的暴露和未暴露区域之间产生化学对比度所需的化学反应。对于大批量制造(hvm),这种烘烤步骤通常在轨道上执行,其中晶片在环境空气或在某些情况下在n2流中以预设温度在热板上烘烤。在这些烘烤步骤期间更仔细地控制烘烤环境并在环境中引入额外的反应性气体组分可以帮助进一步降低剂量要求和/或改进图案保真度。
[0198]
根据本公开的各个方面,在沉积(例如,涂敷后烘烤(pab))和/或暴露(例如,暴露后烘烤(peb))和/或在显影之后(例如显影后烘烤(pdb))之后对基于金属和/或金属氧化物的光致抗蚀剂的一种或多种后处理能够增加暴露和未暴露光致抗蚀剂之间的材料特性差异,并因此在随后的干式显影后降低剂量尺寸比(dts)、改进pr轮廓并改进线边缘和宽度粗糙度(ler/lwr)。这种处理可涉及控制温度、气体环境和水分的热处理,从而导致改善后续处理中的干式显影效能。在一些实例中,可使用远程等离子体。
[0199]
在涂敷后处理(例如,pab)的示例中,可在沉积后且暴露前使用控制温度、气体环境(例如,空气、h2o、co2、co、o2、o3、ch4、ch3oh、n2、h2、nh3、n2o、no、ar、he或其混合物)或真空下、以及水分的热工艺,以改变未暴露金属和/或金属氧化物光致抗蚀剂的组成。该改变可提高材料的euv敏感性,并因此可在暴露且干式显影后实现相对于尺寸和边缘粗糙度的较低的剂量。
[0200]
在暴露后处理(例如,peb)的示例中,可利用控制温度、气体环境(例如,空气、h2o、co2、co、o2、o3、ch4、ch3oh、n2、h2、nh3、n2o、no、ar、he或其混合物)或真空下、以及水分的热工艺,以改变未暴露和暴露光致抗蚀剂两者的组成。该改变可提高未暴露与暴露光致抗蚀剂之间的组成/材料性质差异以及未暴露与暴露光致抗蚀剂之间的干式显影蚀刻气体的蚀刻速率差异。因而可实现更高的蚀刻选择性。由于改善选择性,因此可获得具有改善的表面粗糙度和/或较少光致抗蚀剂残留/浮渣的更方形的pr轮廓。
[0201]
在显影后处理(例如,显影后烘烤或pdb)的示例中,可利用控制温度、气体环境(例如,空气、h2o、co2、co、o2、o3、ch4、ch3oh、n2、h2、nh3、n2o、no、ar、he或其混合物)或真空下(例如,有uv)、以及水分的热工艺,以改变未暴露光致抗蚀剂的组成。在特定实施方案中,该条件还包括使用等离子体(例如,包括o2、o3、ar、he或其混合物)。该改变可增强材料的硬度,如
果在蚀刻下伏的衬底时将使用该膜作为抗蚀剂掩模,则其可能是有利的。
[0202]
在这些示例中,在可替代的实现方案中,热工艺可被远程等离子体工艺代替来增加反应性物质,以降低反应的能垒并提高生产率。远程等离子体可产生更多反应性自由基,因而降低用于处理的反应温度/时间,从而提高生产率。
[0203]
因此,可应用一或多个工艺来使光致抗蚀剂本身改性以增加干式显影选择性。该热或自由基改性可增加未暴露与暴露材料之间的对比,因而增加后续干式显影步骤的选择性。未暴露与暴露材料的材料性质之间所得的差异可通过调整工艺条件(包括温度、气体流量、水分、压强和/或rf功率)来调节。干式显影所能实现的较大工艺自由度(其不受湿式显影剂中材料溶解度的限制)允许应用更苛刻的条件以进一步提高可实现的材料对比。所得到的高材料对比可对干式显影反馈更宽的工艺窗,因而实现较高生产率、较低成本及较好的缺陷性能。
[0204]
湿式显影的抗蚀剂膜的主要限制是受限的温度烘烤。由于湿式显影取决于材料溶解度,因此例如加热至220℃或超过220℃会大幅增加含金属pr膜的暴露与未暴露区两者中的交联度,使得两者均变成不溶于湿式显影溶剂,使得该膜不能再可靠地进行湿式显影。对于干式显影的抗蚀剂膜(其中依赖于pr的暴露与未暴露区之间的蚀刻速率差异(即选择性)而仅去除抗蚀剂的暴露或未暴露部分),pab、peb或pdb中的处理温度可在更大得多的窗内变化,以对处理工艺进行调节和优化,例如对于pab约90℃至250℃(如90℃至190℃),对于peb及/或pdb约170℃至250℃或更高(如190℃至240℃)。已发现增大蚀刻速率且较大蚀刻选择性发生于所述范围内的较高处理温度下。
[0205]
在特定实施方案中,pab、peb和/或pdb处理可在气体环境流量为100sccm至10000sccm范围内、水分含量为百分的几至100%(例如,20%-50%)、压强介于大气压与真空之间、且持续时间约1至15分钟(例如,约2分钟)下执行。
[0206]
这些发现可用于调节处理条件,以定制用于特定材料和情况的处理或对其优化。例如,对于给定的euv剂量,在空气中约20%湿度下进行220℃至250℃的peb热处理约2分钟所能实现的选择性,可类似于高约30%的euv剂量而无此等热处理所实现的选择性。因此,取决于半导体处理操作的选择性要求/限制,可使用例如本文所述的热处理以降低所需的euv剂量。或者,如果需更高的选择性且可容许更高的剂量,则可获得比湿式显影背景下可能实现的还要高得多的选择性,其暴露比未暴露高达100倍。装置
[0207]
本发明还包括配置成执行本文所述的任何方法的任何装置。在一实施方案中,用于沉积敏化膜的装置包括沉积模块,该沉积模块包括将euv抗蚀剂材料和/或euv敏化剂沉积为膜的室;图案化模块,其包括具有小于30nm波长辐射源的euv光刻工具;以及显影模块,其包括用于对膜进行显影的室。
[0208]
该装置可进一步包括具有用于这种模块的指令的控制器。在一实施方案中,控制器包括一个或更多存储器设备、一个或更多处理器、以及编码有用于执行膜沉积的指令的系统控制软件。这种指令可以包括在沉积模块中用于在衬底的顶表面上沉积敏化膜;在图案化模块中,直接通过euv暴露以小于30nm的分辨率对膜进行图案化,从而在膜内形成图案;以及在显影模块中,对该膜显影。在特定实施方案中,显影模块提供用于去除euv暴露或euv未暴露区,从而在膜内提供图案。
[0209]
图3描绘了处理站300的实施方案的示意图,处理站300具有处理室主体302,用于维持适合进行所述的干式剥离和显影实施方案的低压环境。多个处理站300可包含在共同的低压处理工具环境中。例如,图4描绘了多站式处理工具400的实施方案,例如可购自lam research corporation(fremont,ca)的处理工具。在一些实施方案中,处理站300的一或更多硬件参数(包含以下所详细讨论者)可通过一或更多计算机控制器350而以编程方式调整。
[0210]
处理站可配置为集群工具中的模块。图6描绘了具有真空整合式沉积以及图案化模块的半导体处理集群工具架构,适用于进行本文所述的实施方案。这样的集群处理工具架构可包含抗蚀剂沉积、抗蚀剂暴露(euv扫描机)、抗蚀剂干式显影以及蚀刻模块,如本文参照图5和6进一步描述的。
[0211]
在一些实施方案中,一些处理功能可在同一模块中连续地执行,例如干式显影和蚀刻。本公开内容的实施方案涉及方法和设备,其用于在euv扫描机中进行光图案化之后,接收晶片(包括配置在待蚀刻层或层堆叠件上的已光图案化的euv抗蚀剂薄膜层)至干式显影/蚀刻室;干式显影已光图案化的euv抗蚀剂薄膜层;接着使用已图案化的euv抗蚀剂作为掩模来蚀刻下伏层,如本文所述。
[0212]
回到图3,处理站300与反应物输送系统301a流体连通,反应物输送系统301a用于将工艺气体通过连接件305输送至分配喷头306。反应物输送系统301a可选地包括混合容器304,其用于混合和/或调节工艺气体以输送至喷头306。一或更多混合容器入口阀320可控制工艺气体至混合容器304的引入。当使用等离子体暴露时,也可将等离子体输送至喷头306或可在处理站300中产生等离子体。
[0213]
图3包括可选的汽化点303,用于将待供应至混合容器304的液体反应物汽化。在一些实施方案中,液体流量控制器(lfc)可设置在汽化点303上游,以控制用于汽化及输送至处理站300的液体的质量流量。例如,lfc可包括位于lfc下游的热质量流量计(mfm)。接着,可调整lfc的柱塞阀,以响应于由比例-积分-微分(pid)控制器(与mfm电气连接)所提供的反馈控制信号。
[0214]
喷头306将工艺气体朝向衬底312分配。在图3所示的实施方案中,衬底312位于喷头306下方,并且显示为置于基座308上。喷头306可具有任何适当的形状,并且可具有任何适当数目和配置的通口,以将工艺气体分配至衬底312。
[0215]
在一些实施方案中,基座308可以升高或降低以暴露衬底312给衬底312和喷头306之间的体积。应理解的是,在一些实施方案中,基座高度可以经由合适的计算机控制器350通过编程方式进行调节。
[0216]
在某些实施方案中,基座308可通过加热器310来控制温度。在一些实施方案中,如所公开的实施方案所述,在已光图案化的抗蚀剂的非等离子体的热暴露至干式显影化学品(例如,hbr、hcl、或bcl3)期间,可将基座308加热至大于0℃且上达300℃或更高的温度,例如50℃至120℃,例如约65℃至80℃。
[0217]
此外,在一些实施方案中,对于处理站300的压力控制可以由蝶形阀318提供。如在图3的实施方案中所示,蝶形阀318对由下游真空泵(未示出)提供的真空进行调节。然而,在一些实施方案中,对处理站300的压力控制还可以通过改变引入至处理站300的一种或多种气体的流率来调节。
[0218]
在一些实施方案中,喷头306的位置可以相对于基座308调节以改变衬底312和喷头306之间的体积。此外,应当理解的是,基座308和/或喷头306的竖直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方案中,基座308可包含用于旋转衬底312的方位的旋转轴线。应该理解的是,在一些实施方案中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器350以编程方式执行。
[0219]
在可使用等离子体时,例如在温和的基于等离子体的沉积实施方案和/或干式显影实施方案和/或在相同室中实施的蚀刻操作中,喷头306和基座308电连接射频(rf)功率源314和匹配网络316来对等离子体307提供功率。在一些实施方案中,等离子体的能量可通过控制处理站的压强、气体的浓度、rf源功率、rf源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,rf功率源314和匹配网络316可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。合适功率的示例上达约500w。
[0220]
在一些实施方案中,可以经由输入/输出控制(ioc)测序指令来提供用于控制器350的指令。在一个示例中,用于设置工艺阶段的条件的指令可被包含在工艺配方的相应的配方阶段中。在某些情况下,工艺配方阶段可按顺序排列,使得用于工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方案中,用于设定一个或多个反应器参数的指令可以被包含在配方阶段中。例如,用于设定干式显影化学品反应物气体(例如hbr或hcl)的流率的指令、以及用于配方阶段的时间延迟指令。在一些实施方案中,控制器350可包括下述关于图4的系统控制器450的任何特征。
[0221]
如上所述,一个或更多个处理站可以包含在多站处理工具中。图4示出了多站式处理工具400的实施方案的概要视图,其具有入站装载锁402和出站装载锁404,其一者或者两者可以包含远程等离子体源。处于大气压的机械手406被配置为将晶片从通过舱408装载的盒经由大气端口410移动至入站装载锁402内。晶片由机械手406放置在入站装载锁402中的基座412上,关闭大气端口410,且抽空装载锁。当入站装载锁402包含远程等离子体源时,晶片在被引入处理室414之前,可以暴露至装载锁中的远程等离子体处理以处理氮化硅表面。此外,晶片另外也可以在入站装载锁402中加热,例如以移除湿气和吸附的气体。接下来,通向处理室414的室传输端口416被打开,且另一个机械手(未示出)将晶片放置到在反应器中被示出的第一站的基座上的反应器中以用于处理。尽管在图4中绘出的实施方案包含装载锁,但应该理解的是,在一些实施方案中,可以使衬底直接进入处理站。
[0222]
绘出的处理室414包含4个处理站,图4所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为418)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。例如,在一些实施方案中,处理站可以是可在干式显影与蚀刻处理模式之间切换的。附加地或替代地,在一些实施方案中,处理室414可以包含一个或多个干式显影与蚀刻处理站的匹配对。尽管绘出的处理室414包含4个站,但要理解的是,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有5个或5个以上的站,而在其它实施方案中,处理室可以具有3个或者更少的站。
[0223]
图4描绘了用于在处理室414内传输晶片的晶片搬运系统490的一些实施方案。在一些实施方案中,晶片搬运系统490可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图4还绘出了采用来控制处理工具400的处理条件和硬件状态的系统控制
器450的实施方案。系统控制器450可以包含一个或多个存储器装置456、一个或多个海量存储装置454和一个或多个处理器452。处理器452可以包含计算机或者cpu、模拟和/或数字输入/输出连接、步进马达控制器板等。
[0224]
在一些实施方案中,系统控制器450控制处理工具400的所有活动。系统控制器450执行存储在海量存储装置454、载入存储器装置456、并由处理器452执行的系统控制软件458。可替代地,控制逻辑可以在控制器450中硬编码。特定应用集成电路、可编程逻辑装置(例如现场可编程栅极阵列、或者fpga)等可以用于这些目的。在下面的讨论中,无论使用“软件”还是“代码”,可以使用功能上相当的硬编码的逻辑来取代。系统控制软件558可以包含用于控制时序、气体的混合、气体流率、室和/或站压强、室和/或站温度、晶片温度、目标功率电平、rf功率电平、衬底基座、卡盘和/或基座位置、以及由处理工具400执行的特定处理的其它参数的指令。系统控制软件458可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制用于执行各种处理工具处理的处理工具组件的操作。系统控制软件458可以以任何适当的计算机可读编程语言来编码。
[0225]
在一些实施方案中,系统控制软件458可以包含用于控制上述各种参数的输入/输出控制(ioc)测序指令。在一些实施方案中可以采用与系统控制器450关联的、存储在海量存储装置454和/或存储器装置456的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、工艺气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
[0226]
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座418,并控制衬底和处理工具400的其它部分之间的间隔。
[0227]
工艺气体控制程序可包含用于控制各种气体组成(例如,如本文所述的hbr或hcl气体)和流率的代码和任选地用于使气体在沉积之前流到一个或多个处理站中以稳定在处理站中的压强的代码。压强控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。
[0228]
加热器控制程序可包含用于控制流向用于加热衬底的加热单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦气)朝向衬底上的传送。
[0229]
等离子体控制程序可包含用于根据本文的实施方案设置施加到一个或多个处理站内的处理电极的rf功率电平的代码。
[0230]
压强控制程序可以包含用于根据本文的实施方案保持反应室内的压强的代码。
[0231]
在一些实施方案中,可以存在与系统控制器550相关联的用户界面。用户界面可以包含显示屏、设备和/或工艺条件的图形软件显示器、以及诸如定点装置、键盘、触摸屏、麦克风等用户输入装置。
[0232]
在一些实施方案中,由系统控制器450调节的参数会涉及工艺条件。非限制性实例包含工艺气体组成和流率、温度、压强、等离子体条件(例如,rf偏置功率电平)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
[0233]
用于监控处理的信号可以由系统控制器450的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具400的模拟和数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起
使用,以保持处理条件。
[0234]
系统控制器450可以提供用于执行上述沉积处理的程序指令。所述程序指令可以控制多种处理参数,如dc功率电平、rf偏置功率电平、压强、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施方案操作干式显影和/或蚀刻处理。
[0235]
系统控制器450将通常包含一个或多个存储器装置和被配置成执行指令的一个或多个处理器以使该设备将执行根据所公开的实施方案所述的方法。包含用于控制根据所公开的实施方案的处理操作的指令的机器可读的介质可以耦合到系统控制器450。
[0236]
在一些实现方案中,系统控制器450是系统的一部分,该系统可以是上述实施例的一部分。这种系统可以包含半导体处理装置,该半导体处理装置包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理部件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,系统控制器450可以被编程以控制本文公开的任何工艺,包含控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(rf)产生器设置、rf匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
[0237]
广义而言,系统控制器450可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(dsp)、定义为专用集成电路(asic)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到系统控制器450的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
[0238]
在一些实现方案中,系统控制器450可以是与系统集成、耦合、以其它方式联网到系统或其组合的计算机的一部分或者与该计算机耦合。例如,系统控制器450可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实施例中,系统控制器450接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,系统控制器450被配置成连接或控制该工具类型。因此,如上所述,系统控制器450可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实施例可以
是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
[0239]
示例的系统可以包含但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(pvd)室或模块、化学气相沉积(cvd)室或模块、ald室或模块、原子层蚀刻(ale)室或模块、离子注入室或模块、轨道室或模块、euv光刻室(扫描机)或模块、干式显影室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
[0240]
如上所述,根据工具将要执行的一个或多个工艺步骤,系统控制器450可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
[0241]
现在描述感应耦合式等离子体(icp)反应器,在某些实施方案中,其可适用于蚀刻操作,蚀刻处理适用于某些实施方案的实施。虽然本文描述icp反应器,但应理解,在一些实施方案中,还可使用电容耦合式等离子体反应器。
[0242]
图5概要地显示感应耦合式等离子体装置500的横截面图,其适合实行某些实施方案或实施方案的方面(例如干式显影和/或蚀刻),该设备的示例为由lam research corp.,fremont,ca所生产的反应器。在其他实施方案中,具有执行本文所述干式显影和/或蚀刻工艺的功能的其他工具或工具类型可用于实施。
[0243]
感应耦合式等离子体装置500包括整体处理室,其在结构上由室壁501和窗511限定。室壁601可以由不锈钢或铝制成。窗511可以由石英或其他介电材料制成。任选的内部等离子体栅格550将总处理室分为上副室502和下副室503。在大多数的实施方案中,等离子体栅格550可以被移除,从而利用由副室502和503两者构成的室空间。卡盘517定位在下副室503中在底部内表面附近。卡盘517被配置成接收和保持在其上执行蚀刻和沉积工艺的半导体晶片519。卡盘517可以是当晶片519存在时用于支撑晶片519的静电卡盘。在一些实施方案中,边缘环(未示出)围绕卡盘517,并具有大致与晶片519(当晶片存在于卡盘517上方时)的顶面在同一平面的上表面。卡盘517还包括用于夹紧和松开晶片519的静电电极。可设置过滤器和dc钳位功率源(未示出)用于此目的。
[0244]
也可以提供其他的控制系统用于提升晶片519使其离开卡盘517。卡盘517可以用rf电源523充电。rf电源523通过连接件527被连接到匹配电路521。匹配电路521通过连接件525连接到卡盘517。以这种方式,rf电源523被连接到卡盘517上。在多种实施方案中,可将静电卡盘的偏压电源设定为约50v,或取决于依据所公开的实施方案所执行的处理而设定为不同的偏压电源。例如,偏压电源可在约20v与约100v之间、或在约30v与约150v之间。
[0245]
用于等离子体产生的元件包括位于窗511上方的线圈533。在一些实施方案中,所公开的实施方案中未使用线圈。线圈533由导电材料制成,并包括至少一整匝。在图5中所示的线圈533的示例包括三匝。线圈533的横截面用符号示出,具有“x”符号的线圈表示线圈旋转地延伸到页面内,相反,具有
“●”
符号的线圈表示线圈旋转地延伸出页面。用于等离子体产生的元件还包括被配置为提供rf功率至线圈533的rf电源541。一般地,rf电源541通过连接件545被连接到匹配电路539。匹配电路539通过连接件543连接到线圈533。以这种方式,rf电源541被连接到线圈533。任选的法拉第屏蔽件549被定位在线圈533和窗511之间。法拉
第屏蔽件549可以以相对于线圈533保持隔开的关系。在一些实施方案中,法拉第屏蔽件549被设置在窗511的正上方。在一些实施方案中,法拉第屏蔽件是在窗511与卡盘517之间。在一些实施方案中,法拉第屏蔽件与线圈533并非维持相隔开的关系。例如,法拉第屏蔽件可直接在窗下方而没有间隙。线圈533、法拉第屏蔽件549、以及窗511中的每一者被配置为彼此实质上平行。法拉第屏蔽件549可防止金属或其它物质沉积于处理室的窗511上。
[0246]
工艺气体可以通过位于上副室502中的一个或多个主气体流入口560和/或通过一个或多个侧气体流入口570流入处理室。同样,虽然未明确示出,但是类似的气体流入口可用于向电容耦合等离子体处理室供应工艺气体。真空泵,例如,一级或两级干式机械泵和/或涡轮分子泵540,可用于将工艺气体从处理室抽出并维持处理室内的压强。例如,该真空泵可用于在ald清扫操作过程中排空下副室503。阀控制的导管可用于使真空泵流体连接在处理室上,以便选择性地控制由真空泵提供的真空环境的应用。在操作等离子体处理过程中,这可以使用封闭环控制的流量限制设备例如节流阀(未示出)或钟摆阀未示出)进行。同样,也可以使用受控地流体连接在电容耦合等离子体处理室上的真空泵和阀。
[0247]
在装置500的操作过程中,一种或多种工艺气体可通过气体流入口560和/或570供给。在某些实施方案中,工艺气体可以仅通过主气体流入口560供给,或者仅通过侧气体流入口570供给。在一些情况下,在图中所示的气体流入口可以由较复杂的气体流入口替代,例如由一个或多个喷头替代。法拉第屏蔽件549和/或任选的栅格550可以包括使工艺气体能输送至处理室的内部通道和孔。法拉第屏蔽件549和任选的栅格550中的一者或两者可以作为用于输送工艺气体的喷头。在一些实施方案中,液体蒸发和输送系统可位于处理室的上游,使得一旦液体反应物或前体被蒸发,那么蒸发的反应物或前体通过气体流入口560和/或570引入到处理室中。
[0248]
射频功率从rf电源541供给到线圈533以使rf电流流过线圈533。流过线圈533的rf电流产生围绕线圈533的电磁场。该电磁场产生在上副室502内的感应电流。所生成的各离子和自由基与晶片519的物理和化学相互作用蚀刻晶片的特征并且选择性地在晶片519上沉积层。
[0249]
如果使用等离子体栅格550使得存在上副室502和下副室503二者,则感应电流作用于存在于上副室502中的气体上以在上副室502中产生电子-离子等离子体。任选的内部等离子体栅格550限制下副室503中的热电子的量。在一些实施方案中,设计和操作所述装置600使得存在于下副室503中的等离子体是“离子-离子”等离子体。
[0250]
上部的电子-离子等离子体和下部的离子-离子等离子体两者可包含阳离子和阴离子,但是离子-离子等离子体将具有更大的阴离子与阳离子的比率。挥发性的蚀刻和/或沉积的副产物可通过端口522从下副室503去除。本文所公开的卡盘517可在约10℃和约250℃之间的升高的温度范围内操作。该温度将取决于工艺操作和具体配方。
[0251]
装置500当安装在超净室或制造厂中时可耦合到设施(未示出)。这样的设施包括管道,管道提供工艺气体、真空、温度控制和环境微粒控制。这些设施当安装在目标制造厂时耦合到装置500。此外,装置500可耦合在传送室上,从而允许使用例如典型的自动化由机械手传送半导体晶片进出装置500。
[0252]
在一些实施方案中,系统控制器530(其可以包括一个或多个物理或逻辑控制器)控制处理室的操作中的一些或全部。系统控制器530可以包括一个或多个存储器装置和一
个或多个处理器。在一些实施方案中,该装置500包括在执行所公开的实施方案时用于控制流率和持续时间的切换系统。在一些实施例中,该装置500可具有高达约600ms或高达约750ms的切换时间。切换时间可以取决于流动化学物质组成、配方选择、反应器架构以及其他因素。
[0253]
在一些实现方案中,系统控制器或控制器530是系统的一部分,该系统可以是上述示例的一部分。这种系统可以包括半导体处理装置,半导体处理装置包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以集成到控制器530中,其可以控制一个或多个系统的各种部件或子部件。根据处理参数和/或系统类型,系统控制器可以被编程以控制本文公开的任何工艺,包括控制工艺气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(rf)发生器设置、rf匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、进出工具和其他输送工具和/或连接到特定系统或与特定系统接口的装载锁的晶片输送。
[0254]
广义而言,控制器530可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(dsp)、定义为专用集成电路(asic)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式输送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造或去除期间完成一个或多个处理步骤。
[0255]
在一些实现方案中,系统控制器530可以是与系统集成、耦合、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或在晶片厂(fab)主机系统的全部或一部分中,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、从多个制造操作研究趋势或性能标准,以改变当前处理的参数、设置要跟随当前处理的处理步骤、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户接口,然后将该参数和/或设置从远程计算机输送到系统。在一些示例中,系统控制器530接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,系统控制器530可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个离散控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
[0256]
示例性的系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂
洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(pvd)室或模块、化学气相沉积(cvd)室或模块、ald室或模块、ale室或模块、离子注入室或模块、轨道室或模块、euv光刻室(扫描机)或模块、干式光刻室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
[0257]
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
[0258]
euvl图案化的实施可利用任何合适的工具,其通常被称为扫描机,例如由asml(veldhoven,nl)所提供的twinscan nxe:平台。euvl图案化工具可以是独立的装置,衬底被移入其中或自其移出以用于本文所述的沉积与蚀刻。替代地,如下所述,euvl图案化工具可以是在较大的多构件工具上的模块。图6描绘了半导体处理集群工具架构,其具有与真空传送模块对接的真空整合式沉积、euv图案化、及干式显影蚀刻模块,适用于进行本文所述的处理。虽然可在缺少这样的真空整合设备的情况下实施这些处理,但这样的设备在某些实现方案中可能是有利的。
[0259]
图6描绘了半导体处理集群工具架构,其具有与真空传送模块对接的真空整合式沉积和图案化模块,适用于进行本文所述的处理。用于在多个储存设备与处理模块之间“传送”晶片的传送模块的配置可称为“集群工具架构”系统。根据特定处理的需求,沉积以及图案化模块是真空整合式的。在该集群上还可包括其它模块(例如用于蚀刻)。
[0260]
真空传送模块(vtm)638与四个处理模块620a-620d对接,其可各自进行优化以执行各种制造处理。作为一示例,处理模块620a-620d可用于执行沉积、蒸发、eld、干式显影、蚀刻、剥除、和/或其它半导体处理。例如,模块620a可以是ald反应器,其可操作以执行本文所述的非等离子体的热原子层沉积中,例如可购自lam research corporation(fremont,ca)的vector工具。模块620b可以是peald工具(例如lam )。应理解,图未必按比例绘制。
[0261]
气锁642及646(亦称为装载锁或传送模块)与vtm 638以及图案化模块640对接。例如,如上所述,合适的图案化模块可为twinscan nxe:平台(由asml(veldhoven,nl)提供。该工具架构容许工件(例如半导体衬底或晶片)在真空下传送,以便不在暴露之前反应。沉积模块与光刻工具的整合通过以下事实促成:考虑到环境气体(例如h2o、o2等)对于入射光子的强烈光学吸收性,euvl还需要大幅降低的压力。
[0262]
如上所述,该整合架构仅为用于实行所述处理的工具的一可能实施方案。这些处理的实行也可使用更为常规的独立euvl扫描机以及沉积反应器(例如lam vector工具)作为模块,其为独立的或与其它工具(例如蚀刻、剥除等(例如lam kiyo或gamma工具))一同整合于集群架构中,例如参考图6所述的(但没有整合的图案化模块)。
[0263]
气锁642可以是“输出”装载锁,代表将衬底从供沉积模块620a使用的vtm 638传出至图案化模块640,而气锁646可以是“输入”装载锁,表示将衬底从图案化模块640传送回vtm 638。输入装载锁646也可作为至工具外部的接合部,以用于衬底的进出。每一处理模块具有将该模块对接至vtm 638的小面(facet)。例如,沉积处理模块620a具有小面636。在每
一小面内,传感器(例如,图中所示的传感器1-18)用于,当晶片626在相应的站与站之间移动时,检测晶片的通过。图案化模块640及气锁642、646可类似地装配有额外的小面以及传感器(未显示)。
[0264]
主要vtm机械手622在模块(包括气锁642及646)之间传送晶片626。在一实施方案中,机械手622具有一手臂,而在另一实施方案中,机械手622具有两手臂,其中每一手臂具有末端效应器624以拾取晶片(例如晶片626)而进行输送。前端机械手644用于将晶片626从输出气锁642传送至图案化模块640中、从图案化模块640传送至输入气锁646中。前端机械手644也可在输入装载锁与工具外部之间输送晶片626,以用于衬底的进出。由于输入气锁模块646能够匹配在大气与真空之间的环境,所以晶片626能在这两个压力环境之间移动而不会受损。
[0265]
应当注意,相比于沉积工具,euvl工具通常在较高的真空下操作。如果情况是如此,则期望在沉积工具和euvl工具之间进行传送期间增加衬底的真空环境,以容许衬底在进入图案化工具之前进行除气。输出气锁642可提供此功能,通过将所传送的晶片维持在较低压力(不高于图案化模块640中的压力)一段时间并抽空任何脱离气体(off-gassing),使得图案化工具640的光学组件不会被来自衬底的脱离气体所污染。输出脱离气体气锁的合适压力为不超过1e-8torr。
[0266]
在一些实施方案中,系统控制器650(其可包括一或更多实体或逻辑控制器)控制集群工具和/或其分开的模块的一些或所有操作。应当注意,控制器可在集群架构本地、或可位于制造楼层中的集群架构的外部、或位于远程位置并经由网络连接至集群架构。系统控制器650可包括一或更多存储器装置以及一或更多处理器。处理器可包括中央处理单元(cpu)或计算机、模拟和/或数字输入/输出连接、步进马达控制板、及其它类似构件。在处理器上执行用于实施合适的控制操作的多个指令。这些指令可存储于与控制器相连的存储器装置上、或可通过网络而提供。在某些实施方案中,系统控制器执行系统控制软件。
[0267]
系统控制软件可包括用于控制任何工具或模块操作的方面的应用与规模的时序的指令。系统控制软件可以任何适当的方式配置。例如,可编写各种处理工具构件子程序或控制对象,以控制实施各种处理工具程序所需的处理工具构件的操作。系统控制软件可以任何合适的计算器可读程序语言进行编码。在一些实施方案中,系统控制软件包括输入输出控制(ioc)序列指令,以控制上述的各种参数。例如,半导体制造处理的每一阶段可包括由系统控制器所执行的一或更多指令。例如,用于设定凝结、沉积、蒸发、图案化和/或蚀刻阶段的处理条件的指令可包括在相对应的配方阶段中。
[0268]
在多种实施方案中,提供用于形成负型图案掩模的装置。该装置可包括用于图案化、沉积以及蚀刻的处理室、以及包括用于形成负型图案掩模的指令的控制器。指令可包括用于,在处理室中,执行下列处理的程序代码:通过euv暴露使衬底表面暴露,图案化在半导体衬底上的化学放大抗蚀剂(car)中的特征;使已光图案化的抗蚀剂进行干式显影;以及使用已图案化的光致抗蚀剂作为掩模以蚀刻下伏层或层堆叠件。
[0269]
应当注意,控制晶片移动的计算机可在集群架构本地、或可位于制造楼层中的集群架构的外部、或位于远程位置并经由网络连接至集群架构。示例示例1:包含氙作为敏化剂
[0270]
氙具有高光吸收截面,例如,约1.16e+05cm2/g。氙在标准压强及温度条件下为气体,具有约0.01g/cm3的密度。因此,在膜内包含作为敏化剂的氙可使用气体形式的氙。
[0271]
作为惰性气体,氙不会干扰沉积euv抗蚀剂材料所需的气相聚合反应。然而,物理捕获于固相pr膜内的任何氙均可能产生一级和二级光电子。以此方式,使用氙作为敏化剂提供具有增强的euv光子收集以用于键断裂的敏化膜。本文提供包括氙的示例性实施方案。
[0272]
在一实施方案中,在蒸气输送系统内采用氙。尤其是,氙可用作蒸气输送系统中的euv抗蚀剂材料(或其前体)的推动气体和/或用作逆反应物的推动气体(例如,h2o或其他羟基源,例如醇类)。推动气体又可被引入沉积室中。
[0273]
在另一实施方案中,氙被用作蒸气输送系统内的起泡气体。例如,可使氙起泡通过液体形式的euv抗蚀剂材料(或其前体),且氙蒸气可被引导至沉积室中。在另一实例中,可使氙起泡通过液体形式的逆反应物,且所得的氙蒸气可引导至沉积室中。
[0274]
在一实施方案中,氙被用作稀释剂气体,其可用于稀释连接至沉积室的蒸气输送系统内所使用的任何气流。气流可以包括任何有用的化合物,例如euv抗蚀剂材料、euv抗蚀剂材料的前体或蒸气形式的逆反应物;且稀释剂可用于稀释这些气流中的任一者。
[0275]
在一实施方案中,沉积工艺在沉积室内被分成较小增量(increment)。例如,第一增量可包括输送euv抗蚀剂材料或其前体,而第二增量可包括暴露于氙。沉积工艺可接着包括交替的第一增量和第二增量,直到沉积所期望的膜。在一些实施方案中,第二增量可包括在压强比沉积期间所采用的压强更高(例如,为沉积工艺压强的10倍至100倍的更高压强)下提供氙。在特定实施方案中,第二增量包括在约100毫托至1000托(例如,约100毫托至100托)的压强下提供氙。
[0276]
在另一实施方案中,在氙存在下用pab处理包括euv抗蚀剂材料的pr层。在一些实施方案中,pab步骤是在富含xe环境下于高温进行。对于pab,非限制性温度包括约90℃至250℃,例如90℃至190℃,以及约170℃至250℃或更高,例如190℃至240℃。在其他实施方案中,pab步骤可在没有光子但富含xe离子及自由基的下游放电的情况下通过激励氙中的等离子体来执行。
[0277]
在一实施方案中,将euv抗蚀剂材料(或其前体)除气,接着将氙注入沉积室。可通过采用pab步骤和/或通过施加真空以提供较低压强来进行除气。氙注入可以在任何有用的条件下进行,以促进注入效率和密度,例如在高压和/或低温下注入。在一些实施方案中,氙注入在高压下进行,接着降低温度。
[0278]
在另一实施方案中,可调节抗蚀剂材料的沉积以促进提高氙并入。例如,在一实施方案中,沉积可包括在pr膜中提供较低密度的euv抗蚀剂材料的条件(例如,低温沉积),因而能增加氙并入膜中(例如,通过本文的任何方法进行,例如提供氙作为推动气体、起泡气体、稀释剂、环境气体、注入等)。
[0279]
在一实施方案中,可以在沉积室内提供残留量的氙,因而在euv抗蚀剂材料的沉积期间提供背景量的氙。这种残留量可通过在沉积后工艺中使用混入氙的等离子体化学过程以清洁沉积室来实施。以此方式,该清洁操作特意在沉积室中对进入室以进行沉积的下一产品晶片提供背景量的氙。
[0280]
在另一实施方案中,氙气可被再利用以及再循环。例如,未反应的氙可在沉积或涂敷后烘烤工艺之前、之后或期间收集;且收集到的氙气可在本文所述的任一工艺中重复使
用。
[0281]
在一实施方案中,氙在敏化膜内具有渐变密度。在一实施方案中,氙的密度从膜底部较大渐变至膜顶部较小。一般而言,膜在膜底部处具有较低的euv吸收,而膜底部处包含额外的氙相比于不含氙的膜提供额外的euv吸收。通过对膜控制氙的密度梯度,敏化膜可以在其整个厚度具有均匀的euv吸收。
[0282]
这种梯度可以任何有用的方式实施。例如,可通过增加蒸气输送系统内氙的流量(例如,作为推动气体、起泡气体或稀释剂)来沉积增加的氙,且可通过减少氙的流量或用惰性载气或惰性非光活化载气(例如,本文所述的任一者,例如he或ar)稀释氙气流来沉积减少的氙。
[0283]
在另一实施方案中,可以在沉积或pab期间输送任何有用形式的氙。在一实例中,可使用氙较重(但放射性稳定)的同位素,例如
133
xe或
136
xe。不希望受限于机制,当在沉积期间用作推动气体、沉积期间用作起泡气体、沉积期间用作稀释剂或沉积期间用作交错的暴露气体时,使用较重的同位素可增加其并入pr膜中。在另一实例中,可使用氙较轻的同位素,例如
129
xe。不希望受限于机制,当在pab期间用作环境气体时,使用较轻的同位素可增加其扩散至沉积的pr膜中。
[0284]
在进一步的实施方案中,可组合本文所述的任何工艺(例如,在本示例中)以提供敏化膜。示例2:包含碘作为敏化剂
[0285]
碘具有高光吸收截面,例如,约1.10e+05cm2/g。碘在标准压强及温度条件下为气体,具有约4.93g/cm3的密度。此外,可包含碘作为euv抗蚀剂材料或其前体中的杂原子。因此,在膜内包含作为敏化剂的碘可使用气体形式的含碘化合物或以惰性载气携带的蒸气形式提供的含碘化合物。
[0286]
在一实施方案中,沉积工艺在沉积室内被分成较小增量。例如,第一增量可包括输送euv抗蚀剂材料或其前体,而第二增量可包括暴露于含碘化合物(例如,hi或i2)。沉积工艺可接着包括交替的第一增量和第二增量,直到沉积所期望的膜。在一些实施方案中,第二增量可包括在压强比沉积期间所采用的压强更高(例如,为沉积工艺压强的10倍至100倍的更高压强)下提供含碘化合物。在特定实施方案中,第二增量包括在约100毫托至1000托(例如约100毫托至100托)的压强下提供含碘化合物。
[0287]
在另一实施方案中,在含碘化合物存在下用pab处理包括euv抗蚀剂材料的pr层。在一些实施方案中,pab步骤是在富含碘的环境于高温进行。对于pab,非限制性温度包括约90℃至250℃,例如90℃至190℃,以及约170℃至250℃或更高,例如190℃至240℃。在其他实施方案中,pab步骤可在没有光子但富含i离子和自由基的下游放电下通过激励碘中的等离子体来执行。
[0288]
在一实施方案中,可在沉积室内提供残留量的碘,因而在euv抗蚀剂材料的沉积期间提供背景量的碘。这种残留量可通过在沉积后工艺中使用混入碘的等离子体化学过程(例如,基于hi的等离子体化学过程)以清洁沉积室来实施。以此方式,该清洁操作特意在沉积室中对进入室以进行沉积的下一产品晶片提供背景量的碘。
[0289]
在一实施方案中,将euv抗蚀剂材料(或其前体)除气,接着将含碘化合物(例如,i2或hi)注入沉积室。可通过采用pab步骤和/或通过施加真空以提供较低压强进行除气。注入
可在任何有用的条件下进行,以促进注入效率和密度,例如在高压和/或低温下注入。在一些实施方案中,含碘化合物的注入在高压下进行,接着降低温度。
[0290]
在另一实施方案中,可调节抗蚀剂材料的沉积以促进提高含碘化合物(例如,hi)并入。例如,在一实施方案中,沉积可包括在pr膜中提供较低密度的euv抗蚀剂材料的条件(例如,低温沉积),因而能增加含碘化合物并入膜中(例如,通过本文的任何方法进行,例如提供含碘化合物作为推动气体、起泡气体、稀释剂、环境气体、注入等)。
[0291]
在一实施方案中,含碘化合物为euv抗蚀剂材料或其包括一个或更多碘取代的前体。在一实施方案中,前体在包含于前体中的烷基的β-位置中包括碘取代。在另一实施方案中,前体在包含于前体中的烷基的α-位置中包括碘取代。
[0292]
在一实施方案中,含碘化合物在敏化膜内具有渐变密度。在一实施方案中,碘的密度从膜底部较大渐变至膜顶部较小。一般而言,膜在膜底部处具有较低的euv吸收,而膜底部处包含额外的碘相比于不含碘的膜提供额外的euv吸收。通过对膜控制碘的密度梯度,敏化膜可在其整个厚度具有均匀的euv吸收。
[0293]
这种梯度可以任何有用的方式实施。例如,可通过增加蒸气输送系统内含碘化合物的流量(例如,作为推动气体、起泡气体或稀释剂)来沉积增加的碘,且可通过减少含碘化合物的流量或用惰性载气或惰性非光活化载气(例如,本文所述的任一者,例如he或ar)稀释含碘化合物气流来沉积减少的碘。
[0294]
在另一实施方案中,含碘化合物(例如,i2或hi)可被再利用及再循环。例如,未反应的含碘化合物可在沉积或涂敷后烘烤工艺之前、之后或期间收集;且收集到的含碘化合物可在本文所述的任一工艺中重复使用。
[0295]
在进一步的实施方案中,可组合本文所述的任何工艺(例如,在本示例中)以提供敏化膜。结论
[0296]
尽管为了清楚理解的目的已经对前述实施方案进行了一些详细的描述,但显然可以在所附权利要求的范围内实践某些改变和修改方案。可以在没有这些具体细节中的一些或全部的情况下实践本文公开的实施方案。在其他情况下,没有详细描述众所周知的工艺操作,以免不必要地使所公开的实施方案难以理解。此外,虽然将结合具体实施方案来描述所公开的实施方案,但应当理解,具体实施方案并不旨在限制所公开的实施方案。应该注意,有许多替代方式来实现所呈现的实施方案的工艺、系统和装置。因此,所呈现的实施方案被认为是说明性的而非限制性的,并且实施方案不受限于这里给出的细节。
当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1