自动化图案保真度测量计划产生的制作方法

文档序号:12513323阅读:162来源:国知局
自动化图案保真度测量计划产生的制作方法与工艺

本发明大体来说涉及自动化图案保真度测量计划产生。某些实施例涉及用于确定将对样品执行的计量过程的一或多个参数的方法及系统。



背景技术:

以下说明及实例并非由于其包含于此章节中而被认为是现有技术。

在半导体制造过程期间在各个步骤处使用检验过程来检测晶片上的缺陷以促进在制造过程中的较高成品率且因此较高利润。检验始终是制作半导体装置的重要部分。然而,随着半导体装置的尺寸减小,检验对可接受半导体装置的成功制造变得甚至更加重要,这是因为较小缺陷可导致装置出故障。

缺陷再检查通常涉及重新检测如通过检验过程检测的缺陷,且使用高放大率光学系统或扫描电子显微镜(SEM)而在较高分辨率下产生关于缺陷的额外信息。因此在其中已经通过检验而检测到缺陷的晶片上的离散位置处执行缺陷再检查。通过缺陷再检查产生的针对缺陷的较高分辨率数据更适用于确定缺陷的属性,例如轮廓、粗糙度、更准确大小信息等。由于缺陷再检查是针对通过检验在晶片上检测的缺陷而执行,因此可基于通过检验过程确定的缺陷的属性而确定用于所检测缺陷的位置处的缺陷再检查的参数。然而,通常不基于关于缺陷位置中或附近的设计部分的信息而确定用于所检测缺陷的位置处的缺陷再检查的输出获取参数(例如,光学、电子束等参数),这是因为此类信息通常与在缺陷再检查期间针对所检测缺陷执行的输出获取功能不相关。

还在半导体制造过程期间的各个步骤处使用计量过程来监测并控制过程。计量过程与检验过程的不同之处在于:不同于其中检测晶片上的缺陷的检验过程,计量过程是用于测量使用当前所使用检验工具无法确定的晶片的一或多个特性。举例来说,计量过程用于测量晶片的例如在过程期间形成于晶片上的特征的尺寸(例如,线宽、厚度等)的一或多个特性,使得可依据所述一或多个特性来确定所述过程的性能。另外,如果晶片的一或多个特性是不可接受的(例如,超出特性的预定范围),那么可使用对晶片的所述一或多个特性的测量来更改过程的一或多个参数,使得通过过程制造的额外晶片具有可接受特性。

计量过程与缺陷再检查过程的不同之处还在于:不同于其中在缺陷再检查中再次探访通过检验检测的缺陷的缺陷再检查过程,计量过程可在未检测到缺陷的位置处执行。换句话说,不同于缺陷再检查,对晶片执行计量过程的位置可独立于对晶片执行的检验过程的结果。特定来说,可独立于检验结果而选择执行计量过程的位置。另外,由于可独立于检验结果而选择执行计量的晶片上的位置,因此不同于其中无法确定将执行缺陷再检查的晶片上的位置直到产生针对晶片的检验结果且所述结果可供使用为止的缺陷再检查,执行计量过程的位置可在已经对晶片执行检验过程之前被确定。

用于设置计量过程的当前方法具有若干个缺点。举例来说,针对利用SEM的图案计量(包含(举例来说)临界尺寸(CD)及叠对测量)的常规配方设置需要关于将测量的位置的先前知识。另外,常规配方设置过程通常包含设计的使用。此外,如果发现用户期望测量一次的新所关注图案(POI)或在进行中基础上发现新所关注图案(POI),那么需要对计量工具配方的更新。

因此,开发不具有上文描述的缺点中的一或多者的用于确定将对样品执行的计量过程的一或多个参数的系统及方法将是有利的。



技术实现要素:

各种实施例的以下说明不应以任何方式解释为限制所附权利要求书的标的物。

一个实施例涉及一种经配置以确定将对样品执行的计量过程的一或多个参数的系统。所述系统包含测量子系统,所述测量子系统至少包含能量源及检测器。所述能量源经配置以产生被引导到样品的能量。所述检测器经配置以检测来自所述样品的能量且响应于所述所检测能量而产生输出。所述系统还包含一或多个计算机子系统,所述一或多个计算机子系统经配置以用于基于针对所述样品的设计而自动产生将在对所述样品执行的计量过程期间利用所述测量子系统测量的所关注区域(ROI)。所述一或多个计算机子系统还经配置以用于分别基于针对所述样品的所述设计的位于所述ROI的第一子集及第二子集中的部分而自动确定在所述计量过程期间利用所述测量子系统在所述ROI的所述第一子集及所述第二子集中执行的一或多个测量的一或多个参数。在所述第一子集中执行的所述一或多个测量的所述一或多个参数是单独地且独立于在所述第二子集中执行的所述一或多个测量的所述一或多个参数而确定。所述系统可如本文中所描述来进一步配置。

另一实施例涉及一种用于确定将对样品执行的计量过程的一或多个参数的计算机实施的方法。所述方法包含上文描述的自动产生及自动确定步骤。所述方法的步骤是通过一或多个计算机系统来执行。

可如本文中进一步所描述而进一步执行上文所描述的方法的步骤中的每一者。另外,上文所描述的方法的实施例可包含本文中所描述的任何其它方法的任何其它步骤。此外,上文所描述的方法可通过本文中所描述的系统中的任一者来执行。

另一实施例涉及一种非暂时性计算机可读媒体,所述非暂时性计算机可读媒体存储可在计算机系统上执行以用于执行用于确定将对样品执行的计量过程的一或多个参数的计算机实施的方法的程序指令。所述计算机实施的方法包含上文所描述的方法的步骤。可如本文中所描述来进一步配置所述计算机可读媒体。可如本文中进一步所描述来执行所述计算机实施的方法的步骤。另外,针对其所述程序指令是可执行的所述计算机实施的方法可包含本文中所描述的任何其它方法的任何其它步骤。

附图说明

在受益于对优选实施例的以下详细说明的情况下且在参考所附图式之后,所属领域的技术人员将明了本发明的其它优点,在所附图式中:

图1及2是图解说明如本文中所描述地配置的系统的实施例的侧视图的示意图;

图3是图解说明本文中使用的包含测量位点、视场及所关注区域的各个术语之间的关系的一个实施例的平面图的示意图;

图4是图解说明针对晶片的设计的一部分的如所述设计的所述部分在设计空间中呈现的一个实例的平面图的示意图;

图5是图解说明图4中展示的设计的部分的如所述设计的所述部分可印刷于晶片上的一个实例的平面图的示意图;

图6是图解说明图5中展示的设计的部分的具有在所述设计的所述部分内的不同所关注区域的一个实施例的平面图的示意图;

图7到8是图解说明当前使用的用于对准设计空间中的针对晶片的设计的一部分与晶片空间中的针对晶片的设计的部分的方法的结果的不同实例的平面图的示意图;

图9是图解说明用于对准设计空间中的针对晶片的设计的一部分与晶片空间中的针对晶片的设计的部分的实施例的结果的一个实例的平面图的示意图;

图10到12是图解说明设计空间与晶片空间中的针对晶片的设计的一部分以及可如何通过本文中所描述的实施例而使所述部分对准的平面图的示意图;

图13是图解说明晶片空间中的针对晶片的设计的一部分以及可如何通过本文中所描述的实施例而确定可跨越其执行测量的尺寸的平面图的示意图;及

图14是图解说明存储用于致使计算机系统执行本文中所描述的计算机实施的方法的程序指令的非暂时性计算机可读媒体的一个实施例的框图。

虽然易于对本发明做出各种修改及替代形式,但其特定实施例是以实例方式展示于图式中且将在本文中详细地描述。所述图式可未按比例。然而,应理解,图式及对其的详细说明并非打算将本发明限制于所揭示的特定形式,而是相反,本发明打算涵盖归属于如由所附权利要求书所界定的本发明的精神及范围内的所有修改、等效形式及替代形式。

具体实施方式

如本文中所使用的术语“设计”及“设计数据”通常是指IC的物理设计(布局)以及通过复杂模拟或简单几何及布林(Boolean)运算而从所述物理设计导出的数据。物理设计可存储于例如图形数据流(GDS)文件、任何其它标准机器可读文件、此项技术中已知的任何其它适合文件等数据结构及设计数据库中。GDSII文件是用于设计布局数据的表示的一类文件中的一者。此类文件的其它实例包含GL1及OASIS文件以及专属文件格式,例如专属于美国加利福尼亚州苗必达市的KLA-Tencor公司的RDF数据。另外,通过光罩检验系统获取的光罩的图像及/或其导出物可用作设计的“代理”或“若干代理”。在使用设计的本文中所描述的任何实施例中,此光罩图像或其导出物可充当设计布局的替代物。所述设计可包含在共同拥有的颁予扎法尔(Zafar)等人的于2009年8月4日发布的第7,570,796号美国专利及颁予库尔卡尼(Kulkarni)等人的于2010年3月9日发布的第7,676,077号美国专利中所描述的任何其它设计数据或设计数据代理,所述两个美国专利如同完整陈述一般以引用方式并入本文中。另外,设计数据可为标准单元库数据、集成布局数据、一或多个层的设计数据、设计数据的导出物及全部或部分芯片设计数据。

在一些实例中,来自晶片或光罩的所模拟或所获取图像可用作设计的代理。图像分析也可用作设计分析的代理。举例来说,可从印刷于晶片及/或光罩上的设计的图像提取设计中的多边形,此假设获取了晶片及/或光罩的具有充分分辨率以对设计的多边形进行充分地成像的图像。另外,本文中所描述的“设计”及“设计数据”是指由半导体装置设计者在设计过程中产生且因此在将设计印刷于任何物理晶片上之前可良好地用于本文中所描述的实施例中的信息及数据。

优选地,如本文中所使用的那些术语“设计”或“物理设计”是指如其将理想地形成于晶片上的设计。以此方式,本文中所描述的设计或物理设计将优选地不包含将不印刷于晶片上的设计特征(例如光学接近校正(OPC)特征),所述特征被添加到设计以增强晶片上的特征的印刷而实际上自身不被印刷。以此方式,在一些实施例中,本文中进一步描述的用于自动产生及自动确定步骤的针对样品的设计不包含将不印刷于样品上的设计特征。

本文中所描述的“设计”及“设计数据”可包含与形成于晶片上的装置的物理意图相关的数据及信息,其可包含上文所描述的各种类型的设计及设计数据中的任一者。“设计”及“设计数据”还可或替代地包含与形成于晶片上的装置的电意图相关的数据及信息。此类信息及数据可包含(举例来说)列表及SPICE命名及/或“注释布局”(例如,其中设计包含电列表参数标签)。此类数据及信息可用于确定布局或晶片图像的哪些部分在一或多个电方面中是关键的。

现在转到图式,应注意各图并未按比例绘制。特定来说,所述图的元件中的一些元件的比例被大为放大以强调所述元件的特性。还应注意,所述图并未按相同比例绘制。已使用相同参考编号指示可类似地配置的在多于一个图中展示的元件。除非本文中另外提及,否则所描述及所展示的元件中的任一者可包含任何适合可商业购得的元件。

一个实施例涉及一种经配置以确定将对样品执行的计量过程的一或多个参数的系统。在一个实施例中,所述样品包含晶片。在另一实施例中,所述样品包含光罩。所述晶片及所述光罩可包含此项技术中已知的任何晶片及光罩。

此系统的一个实施例展示于图1中。所述系统至少包含具有能量源及检测器的测量子系统。能量源经配置以产生被引导到样品的能量。检测器经配置以检测来自样品的能量且响应于所检测能量而产生输出。

在一个实施例中,被引导到样品的能量包含光,且从样品检测的能量包含光。举例来说,在于图1中展示的系统的实施例中,测量子系统10包含经配置以将光引导到样品14的照明子系统。照明子系统包含至少一个光源。举例来说,如在图1中所展示,照明子系统包含光源16。在一个实施例中,照明子系统经配置而以一或多个入射角(其可包含一或多个倾斜角及/或一或多个法向角)将光引导到样品。举例来说,如在图1中所展示,将来自光源16的光穿过光学元件18且接着穿过透镜20而引导到分束器21,分束器21以法向入射角将光引导到样品14。所述入射角可包含任何适合入射角,其可取决于(例如)样品以及将在样品上检测到的缺陷的特性而变化。

照明子系统可经配置以在不同时间以不同入射角将光引导到样品。举例来说,测量子系统可经配置以更改照明子系统的一或多个元件的一或多个特性,使得可以不同于图1中展示的入射角的入射角将光引导到样品。在一个此类实例中,测量子系统可经配置以移动光源16、光学元件18及透镜20使得以不同入射角将光引导到样品。

在一些实例中,测量子系统可经配置而同时以多于一个入射角将光引导到样品。举例来说,照明子系统可包含多于一个照明通道,所述照明通道中的一者可包含如图1中所展示的光源16、光学元件18及透镜20,且所述照明通道中的另一者(未展示)可包含可不同地或相同地配置的类似元件,或可至少包含光源以及可能地一或多个其它组件(例如本文中进一步描述的那些组件)。如果此类光与其它光同时被引导到样品,那么以不同入射角被引导到样品的光的一或多个特性(例如,波长、偏光等)可为不同的,使得由以不同入射角的对样品的照明引起的光可在检测器处彼此区别开。

在另一实例中,照明子系统可包含仅一个光源(例如,图1中展示的源16),且来自所述光源的光可通过照明子系统的一或多个光学元件(未展示)而被分离到不同光学路径中(例如,基于波长、偏光等)。不同光学路径中的每一者中的光可接着被引导到样品。多个照明通道可经配置以同时或在不同时间(例如,当不同照明通道用于依序对样品进行照明时)将光引导到样品。在另一实例中,同一照明通道可经配置以在不同时间将具有不同特性的光引导到样品。举例来说,在一些实例中,光学元件18可经配置为光谱滤波器,且光谱滤波器的性质可以多种不同方式(例如,通过改变光谱滤波器)被改变,使得可在不同时间将不同波长的光引导到样品。照明子系统可具有此项技术中已知的用于以不同或相同入射角依序或同时将具有不同或相同特性的光引导到样品的任何其它适合配置。

在一个实施例中,光源16可包含宽带等离子体(BBP)光源。以此方式,由所述光源产生且被引导到样品的光可包含宽带光。然而,所述光源可包含任何其它适合光源,例如激光器。所述激光器可包含此项技术中已知的任何适合激光器且可经配置以产生此项技术中已知的处于任一或多个适合波长的光。另外,激光器可经配置以产生是单色或接近单色的光。以此方式,所述激光器可为窄带激光器。所述光源还可包含产生处于多个离散波长或波段的光的多色光源。

来自光学元件18的光可通过透镜20聚焦到分束器21。尽管透镜20在图1中展示为单个折射光学元件,但应理解,实际上,透镜20可包含组合地将来自光学元件的光聚焦到样品的若干个折射及/或反射光学元件。在图1中展示且在本文中所描述的照明子系统可包含任何其它适合光学元件(未展示)。此类光学元件的实例包含(但不限于)偏光组件、光谱滤波器、空间滤波器、反射光学元件、切趾器、分束器、光圈等等,其可包含此项技术中已知的任何此类适合光学元件。另外,所述系统可经配置以基于将用于计量的照明的类型而更改照明子系统的元件中的一或多者。

测量子系统还可包含经配置以致使光跨样品进行扫描的扫描子系统。举例来说,测量子系统可包含载台22,在测量期间将样品14安置于载台22上。扫描子系统可包含可经配置以移动样品使得光可跨样品进行扫描的任何适合机械及/或机器人组合件(其包含载台22)。另外,或替代地,测量子系统可经配置使得测量子系统的一或多个光学元件执行光跨样品的某一扫描。光可以任何适合方式跨样品进行扫描。

测量子系统进一步包含一或多个检测通道。一或多个检测通道中的至少一者包含检测器,所述检测器经配置以检测归因于通过测量子系统对样品的照明的来自样品的光且响应于所检测光而产生输出。举例来说,图1中展示的测量子系统包含两个检测通道,其中一者是由集光器24、元件26及检测器28形成且另一者是由集光器30、元件32及检测器34形成。如图1中所展示,所述两个检测通道经配置而以不同收集角度收集且检测光。在一些实例中,一个检测通道经配置以检测经镜面反射光,且另一检测通道经配置以检测不从样品镜面反射(例如,散射、衍射等)的光。然而,检测通道中的两者或多于两者可经配置以检测来自样品的同一类型的光(例如,经镜面反射光)。尽管图1展示包含两个检测通道的测量子系统的实施例,但测量子系统可包含不同数目个检测通道(例如,仅一个检测通道或者两个或多于两个检测通道)。尽管集光器中的每一者在图1中展示为单个折射光学元件,但应理解集光器中的每一者可包含一或多个折射光学元件及/或一或多个反射光学元件。

一或多个检测通道可包含此项技术中已知的任何适合检测器。举例来说,所述检测器可包含光电倍增管(PMT)、电荷耦合装置(CCD),以及时间延迟积分(TDI)相机。所述检测器还可包含此项技术中已知的任何其它适合检测器。所述检测器还可包含非成像检测器或成像检测器。以此方式,如果所述检测器是非成像检测器,那么检测器中的每一者可经配置以检测经散射光的某些特性(例如强度)但不可经配置以依据在成像平面内的位置而检测此类特性。因此,由包含于测量系统的检测通道中的每一者中的检测器中的每一者产生的输出可为信号或数据,但不是图像信号或图像数据。在此类实例中,计算机子系统(例如系统的计算机子系统36)可经配置以从检测器的非成像输出产生样品的图像。然而,在其它实例中,所述检测器可经配置为成像检测器,所述成像检测器经配置以产生成像信号或图像数据。因此,所述系统可经配置而以若干种方式产生本文中所描述的图像。

应注意,本文中提供图1以大体上图解说明可包含于本文中所描述的系统实施例中的测量子系统的配置。显然地,可更改本文中所描述的测量子系统配置以优化系统的性能,如在设计商业计量系统时通常所执行。另外,本文中所描述的系统可使用现有计量系统(例如,通过将本文中所描述的功能性添加到现有计量系统)来实施,所述现有计量系统是例如可商业上购自KLA-Tencor公司的SpectraShape家族工具及Archer系列工具。对于一些此类系统,本文中所描述的方法可提供为计量系统的任选功能性(例如,作为对计量系统的其它功能性的补充)。替代地,本文中所描述的计量系统可“从头开始”设计以提供全新计量系统。

系统的计算机子系统36可以任何适合方式(例如,经由一或多个传输媒体,其可包含“有线”及/或“无线”传输媒体)耦合到测量子系统的检测器,使得计算机子系统可接收在对样品的扫描期间由检测器产生的输出。计算机子系统36可经配置以执行如本文中所描述的使用检测器的输出的若干个功能以及本文中进一步描述的任何其它功能。此计算机子系统可如本文中所描述地进一步配置。

此计算机子系统(以及本文中所描述的其它计算机子系统)还可在本文中称为计算机系统。本文中所描述的计算机子系统或系统中的每一者可采用各种形式,包含个人计算机系统、图像计算机、主机计算机系统、工作站、网络器具、因特网器具或其它装置。大体来说,术语“计算机系统”可广泛定义为涵盖具有一或多个处理器的执行来自存储器媒体的指令的任何装置。计算机子系统或系统还可包含此项技术中已知的任何适合处理器,例如并行处理器。另外,计算机子系统或系统可包含具有高速度处理及软件的计算机平台作为独立工具或联网工具。

如果所述系统包含多于一个计算机子系统,那么不同计算机子系统可彼此耦合使得图像、数据、信息、指令等可在计算机子系统之间发送,如本文中进一步描述。举例来说,计算机子系统36可通过可包含此项技术中已知的任何适合有线及/或无线传输媒体的任何适合传输媒体而耦合到计算机子系统102(如图1中的虚线所展示)。此类计算机子系统中的两者或多于两者还可通过共享式计算机可读存储媒体(未展示)而有效地耦合。

尽管测量子系统在上文中描述为基于光学或光的测量子系统,但所述测量子系统可为基于电子束的测量子系统。举例来说,在一个实施例中,被引导到样品的能量包含电子,且从样品所检测的能量包含电子。以此方式,能量源可为电子束源。在于图2中展示的一个此类实施例中,测量子系统包含电子柱122,电子柱122耦合到计算机子系统124。

还如图2中所展示,电子柱包含电子束源126,电子束源126经配置以产生通过一或多个元件130而聚焦到样品128的电子。电子束源可包含(举例来说)阴极源或发射器尖端,且一或多个元件130可包含(举例来说)枪透镜、阳极、限束孔径、门阀、束电流选择孔径、物镜透镜以及扫描子系统,所有所述元件均可包含此项技术中已知的任何此类适合元件。

从样品返回的电子(例如,次级电子)可通过一或多个元件132而聚焦到检测器134。一或多个元件132可包含(举例来说)扫描子系统,所述扫描子系统可为包含于元件130中的相同扫描子系统。

电子柱可包含此项技术中已知的任何其它适合元件。另外,电子柱可如在以下专利中所描述地进一步配置:颁予姜(Jiang)等人的2014年4月4日发布的第8,664,594号美国专利、颁予小岛(Kojima)等人的2014年4月8日发布的第8,692,204号美国专利、颁予古本(Gubbens)等人的2014年4月15日发布的第8,698,093号美国专利,以及颁予麦克唐纳(MacDonald)等人的2014年5月6日发布的第8,716,662号美国专利,所述美国专利如同完整陈述一般以引用方式并入本文中。

尽管电子柱在图2中展示为经配置使得电子以倾斜入射角被引导到样品且以另一倾斜角从所述样品散射,但应理解电子束可以任何适合角度被引导到样品及从所述样品散射。另外,基于电子束的测量子系统可经配置以使用多个模式来产生样品的图像(例如,以不同照明角度、收集角度等)。基于电子束的测量子系统的多个模式可在测量子系统的任何图像产生参数上不同。

计算机子系统124可如上文所描述地耦合到检测器134。检测器可检测从样品的表面返回的电子,借此形成样品的电子束图像。所述电子束图像可包含任何适合电子束图像。计算机子系统124可经配置以使用检测器的输出及/或电子束图像执行本文中所描述的功能中的任一者。计算机子系统124可经配置以执行本文中所描述的任何额外步骤。包含图2中展示的测量子系统的系统可如本文中所描述地进一步配置。

应注意,本文中提供图2以大体上图解说明可包含于本文中所描述的实施例中的基于电子束的测量子系统的配置。正如上文所描述的光学测量子系统,可更改本文中所描述的基于电子束的测量子系统配置以优化测量子系统的性能,如在设计商业计量系统时通常所执行。另外,本文中所描述的系统可使用现有计量或高分辨率缺陷再检查系统(例如,通过将本文中所描述的功能性添加到现有计量系统)来实施,所述现有计量或高分辨率缺陷再检查系统是例如可商业上购自KLA-Tencor公司的eDR-xxxx系列工具。对于一些此类系统,本文中所描述的方法可提供为所述系统的任选功能性(例如,作为对所述系统的其它功能性的补充)。替代地,本文中所描述的系统可“从头开始”设计以提供全新系统。

尽管测量子系统在上文中描述为基于光或基于电子束的测量子系统,但所述测量子系统可为基于离子束的测量子系统。此测量子系统可如图2中所展示地经配置,除了电子束源可被替换为此项技术中已知的任何适合离子束源以外。另外,测量子系统可为任何其它适合基于离子束的测量子系统,例如包含于可商业购得的聚焦离子束(FIB)系统、氦离子显微镜(HIM)系统以及次级离子质谱学(SIMS)系统中的基于离子束的测量子系统。

包含于本文中所描述的系统实施例中的一或多个计算机子系统经配置以用于基于针对样品的设计而自动产生将在对样品执行的计量过程期间利用测量子系统测量的所关注区域(ROI)。由于基于针对样品的设计而确定ROI,因此ROI可被称为“基于设计的ROI”。另外,如本文中所描述的针对其确定一或多个参数的计量过程可被称为“设计驱动的计量过程”。

图3提供本文中所使用的包含ROI的各个术语的某一上下文。举例来说,图3展示测量子系统的视场(FOV)300,例如本文中所描述的以测量位点302为中心的那些视场中的一者。所述测量位点可为所检测缺陷(通过检验及/或再检查所检测)的位点或经取样位点。在计量过程期间的晶片上的每一FOV位置可与将执行计量过程所针对的测量位点中的仅一者相关联。举例来说,在计量过程期间,扫描电子显微镜(SEM)或其它测量子系统可以从测量位点到测量位点的方式驱动。

还如图3中所展示,在FOV 300内,可有多个ROI 304、306及308位于其中。尽管图3中展示三个ROI,但可在任一个FOV中存在任何数目个ROI(即,一或多个ROI)。如在图3中进一步展示,ROI可位于FOV内的多个位置中,且尽管所述三个ROI展示为在FOV中不重叠,但在一些实例中,ROI可在FOV中有某种程度的重叠。在ROI中的每一者内,可选择至少一个测量来执行,此可如本文中进一步描述地自动选择或确定。尽管图3未展示将形成于位于图3中展示的FOV中的晶片的区中的任何经图案化特征,但所述测量将是大体针对所述经图案化特征的一或多个特性。

为图解说明可在不同ROI中执行的不同测量,图3如由双向箭头抽象地图解说明这些不同测量,所述双向箭头展示执行此类测量可跨越的尺寸的范围及方向。举例来说,如在图3中所展示,可在ROI 304中沿一个方向跨越所述ROI沿所述方向的整个尺寸的仅一部分执行测量310。可在ROI 306中沿不同方向跨越所述ROI沿所述方向的整个尺寸执行测量312。另外,可沿垂直方向跨越ROI 308执行测量314及316。可跨越ROI沿测量314的方向的整个尺寸的仅一部分执行测量314,而可跨越ROI沿测量316的方向的整个尺寸执行测量316。因此,如本文中进一步描述,可在不同ROI中执行不同测量,且可如本文中进一步描述地选择或确定在任一个ROI中执行的测量。

一或多个计算机子系统还经配置以用于分别基于针对样品的设计的位于ROI的第一子集及第二子集中的部分而自动确定在计量过程期间利用测量子系统在ROI的所述第一子集及所述第二子集中执行的一或多个测量的一或多个参数。在第一子集中执行的一或多个测量的一或多个参数是单独地且独立于在第二子集中执行的一或多个测量的一或多个参数而确定。换句话说,可仅基于设计的位于ROI的第一子集中的部分而确定针对所述第一子集的一或多个参数,可仅基于设计的位于ROI的第二子集中的部分而确定针对所述第二子集的一或多个参数等等。另外,尽管本文中关于第一子集及第二子集而描述一些实施例,但应理解可针对ROI的多于两个子集(例如,ROI的两个或多于两个子集)执行通过计算机子系统执行的步骤。此外,ROI的子集中的每一者可包含一或多个ROI。举例来说,ROI的第一子集可包含仅一个ROI,而ROI的第二子集可包含多于一个ROI。以此方式,本文中所描述的实施例经配置以用于自动化图案保真度测量计划产生。本文中所描述的实施例还可经配置以用于执行所产生的图案保真度测量计划。

在一个实施例中,在计量过程的设置期间执行自动产生及自动确定。以此方式,所述方法可包含在设置期间使用针对晶片的物理设计的自动ROI产生。另外,可使图案保真度测量的配方设置完全自动化,这是因为可在设置期间自动产生针对上千个独特位点的ROI。

在另一实施例中,在计量过程的运行时间期间实时(on-the-fly)执行自动产生及自动确定。以此方式,本文中所描述的实施例可经配置以用于自动化实时图案保真度测量计划产生。另外,所述方法可包含在运行时间期间使用针对晶片的物理设计的自动ROI产生。

本文中所描述的实施例还可产生计量测量计划而无需具有将测量的结构的先前知识。举例来说,本文中所描述的实施例不必执行使用通过用于将测量的结构的另一系统或方法产生的信息的功能。因此,本文中所描述的实施例提供优于当前使用的用于测量计划产生的方法及系统的若干个优点。举例来说,在新过程节点处,由检验工具所检测的图案偏差将需要定量分析来确定其是否满足是“缺陷”的准则。无法提前预测这些缺陷候选者可能在何处出现,因此需要实时自动化计量计划产生。

在一些实施例中,所述自动产生包含在计量过程的设置期间执行设计的基于规则的搜索。举例来说,可使图案保真度测量的配方设置完全自动化,这是因为可在设置期间使用针对晶片的物理设计的基于规则的搜索而自动产生针对上千个独特位点的ROI。以此方式,本文中所描述的实施例可经配置以用于基于规则的自动ROI产生。

可以若干种不同方式执行将用于ROI产生的规则应用于设计。举例来说,基于规则的方法可为其中将规则应用于设计数据以产生ROI的非图像处理方法。可使用CAD软件执行此类应用。在另一实例中,可使用基于图像处理的方法,其可包含将设计数据呈现为图像且接着使用规则作为输入来使用图像处理算法产生ROI。以此方式,可由各种类型的设计分析软件及/或算法消费设计数据以便使用规则作为输入而产生ROI。

在用于自动产生ROI的基于规则的搜索的一个实施例中,可针对每一不同测量类型形成一个规则。换句话说,规则1可针对测量类型1,规则2可针对测量类型2等等。另外,每一规则可不针对多于一个测量类型。以此方式,每一规则可界定将形成于晶片上的设计中的图案的特性,所述特性将使所述规则的测量类型的测量适合于所述图案。举例来说,用于线宽测量类型的规则可经设计以将跨越图案的相对大区段具有基本上均匀尺寸的图案或图案部分识别为针对线宽测量类型的候选者。

在一些此类实例中,可针对包含于任一个FOV中的任何及/或所有图案执行所述规则中的每一者。因此,可在每个FOV基础上执行所有所述规则。由于每一规则可识别所述规则被写入所针对的类型的测量的可能位置,因此每一规则可识别针对所述FOV的若干个可能ROI,其中针对测量类型的每一潜在位置对应于ROI中的一者。因此,将每一规则应用于每一FOV的结果可包含FOV中的一或多个ROI位置。因此,将多个规则应用于每一FOV可产生每一FOV中的一或多个ROI位置,所述一或多个ROI位置中的一些ROI位置可对应于不同测量类型。在一些此类实例中,FOV内的ROI位置中的每一者可对应于仅一个类型的仅一个测量。然而,FOV内的多个ROI位置可在FOV内(部分地或完全地)彼此重叠是可能的(例如,在于FOV的同一部分中执行两种不同类型的两个不同测量是适当的情况下)。在重叠ROI的此类实例中,每一个别ROI可对应于仅一个测量类型的仅一个测量。换句话说,可仅存在针对每个ROI的一种测量类型。因此,为针对给定ROI位置执行多个测量,可存在经形成的多个ROI,其中每一ROI具有相同ROI界限(或位置、坐标等)但各自具有不同测量类型。

因此,概括地说,针对晶片上的任一个测量位点,可为所述测量位点指定一个FOV。可针对每一FOV运行所有规则。由于运行所有规则,因此可随每个ROI一个测量而产生每个FOV每个规则的一或多个ROI。可针对每一FOV/测量位点重复相同步骤直到所有FOV/测量位点已被处理为止。

在一个实施例中,所述一或多个计算机子系统包含电子设计自动化(EDA)工具的计算机子系统。举例来说,针对运行时间下的ROI产生,所述方法可使用EDA物理设计分析工具或将定制算法应用于物理设计。在一些此类实例中,可由物理设计分析软件自动分析设计缩略形式或设计的另一表示以确定所述设计缩略形式或设计的所述另一表示内的有效测量。在一个此类实例中,针对运行时间下的ROI产生,算法可基于图案的给定分段是笔直/平行(即,结构/图案的两个边缘彼此平行)、弯曲(例如,在隅角上)还是处于线的端部而将所述设计自动分段。所述EDA工具可包含任何适合的可商业购得的EDA工具。在一些此类实施例中,本文中所描述的计算机子系统中的一或多者(例如,计算机子系统102)可经配置为EDA工具。

在另一实施例中,针对ROI的第一子集自动确定的一或多个参数导致在ROI的第一子集中执行第一类型的测量,针对ROI的第二子集自动确定的一或多个参数导致在ROI的第二子集中执行第二类型的测量,且所述第一类型的测量与所述第二类型的测量彼此不同。以此方式,所述方法可包含在ROI产生过程期间自动确定测量类型。每个ROI可存在一个测量类型且可在ROI产生过程期间进行自动确定。因此,本文中所描述的实施例可经配置以用于自动产生具有用于每一ROI的适当测量类型的计量计划。举例来说,计量计划产生可包含:针对每一FOV,从物理设计自动界定ROI及测量类型。可使用设计分析算法及软件执行自动界定ROI及测量类型。一或多个参数还可包含将在ROI中的何处执行所述测量类型。可如本文中进一步描述地确定将执行所述测量类型的ROI中的位置。

可执行本文中所描述的计量过程以确定晶片上的图案如何不同于设计中的图案。特定来说,将如其被设计一般印刷于晶片上的图案几乎从不完全如其被设计一般印刷于晶片上。所设计图案与所印刷图案的此类不同可归因于用于将图案印刷于晶片上的过程、工具及材料的固有限制以及所述过程、工具及材料的任何误差。

在图4与图5中展示印刷于晶片上的图案可如何不同于所设计图案的一个实例。特定来说,如在图4中所展示,针对晶片的设计(未在图4中展示)的部分400可包含三个不同图案402、404及406。图案402是可包含于针对晶片的设计中的线结构的实例。图案404是可包含于针对晶片的设计中的触点结构的实例,且图案406是可包含于针对晶片的设计中的多边形结构的实例。

尽管在图4(以及本文中所描述的其它图)中展示可包含于针对晶片的设计中的结构的一些实例,但所述实例不打算代表针对任何特定晶片的任何特定设计。而是,如所属领域的技术人员将清楚,针对晶片的设计可包含呈许多不同布置且呈许多不同数目的许多不同类型的结构。图4(以及本文中所描述的其它图)中展示的结构仅打算图解说明一些假想晶片结构以进一步理解本文中所描述的各种实施例。

归因于用于印刷所述设计的部分400中展示的结构的工具、材料及过程的固有限制,所述结构将未必如其包含于所述设计中一般印刷于晶片上。举例来说,如在图5中所展示,代替如设计中所展示的部分400中的具有尖锐90度隅角的图案402、404及406,所述图案将具有至少略呈圆形的隅角。另外,所述结构中的任一者可在跨越所述结构的各个点处具有例如宽度等尺寸的变化。举例来说,如在图5中所展示,图案406在跨越所述结构的多个点处与此结构的设计特性相比具有一些线宽变化。

因此,可如本文中所描述地基于所设计图案的特性且可能地结合图案的潜在问题的一些先验知识而自动选择ROI及每个ROI的测量类型。在图6中展示针对图5中展示的图案的若干个可能ROI。尽管关于图5中展示的图案展示这些可能ROI,但可实际上基于对应于图5中展示的图案的设计(即,基于如在图4中展示的图案)确定ROI。

在于图6中展示的实施例中,可针对经设计以跨越特征的一部分具有基本上均匀尺寸的所述特征的所述部分确定ROI 600、602及604。举例来说,可针对经设计以跨越特征402的一部分具有基本上均匀尺寸的所述部分产生ROI 600,且可针对经设计以跨越特征406的部分具有基本上均匀尺寸的那些部分产生ROI 602及604。针对这些ROI自动选择的测量类型可为线宽测量,其可用于检测经图案化特征中的颈缩或膨胀问题。

可针对所述特征中的两者(特征402与406)之间的空间自动产生另一ROI(ROI 606),所述空间经设计以跨越ROI具有基本上相同尺寸。通过本文中所描述的实施例自动选择的针对此ROI的测量类型可包含间隙测量(或两个特征之间的距离或距离的某一统计量度)。可执行间隙测量以检测两个经图案化特征之间的桥接问题。

本文中所描述的实施例还可经配置以在所述特征中的一或多者的端部处及/或附近自动产生若干个ROI。举例来说,如在图6中所展示,可针对特征402的端部自动产生ROI 608及610,而可针对特征406的端部自动产生ROI 612及614。针对这些ROI选择的测量类型可为线端位置、线端拉回、线端距离(例如,直线的两个线端之间的距离)或可用于描述所设计特征对所印刷特征的端部的相对位置的某一其它测量类型。

还可针对设计中的经图案化特征中的一或多者的隅角自动产生一或多个ROI。举例来说,如在图6中所展示,ROI 616及618可为针对特征406的隅角而产生。针对这些ROI选择的测量类型可为曲率、半径、距离、弧面积,或可用于描述隅角的形状的某一其它测量类型。

可通过本文中所描述的实施例针对设计中的触点经图案化特征自动产生另一ROI。举例来说,如在图6中所展示,可针对触点特征404产生ROI 620。针对此ROI选择的测量类型可为直径、宽度、高度、半径、面积,或可用于描述所印刷触点如何不同于所设计触点的另一测量类型。

可针对计量过程确定的其它测量类型包含尖端到尖端(对两个线端之间的间隙的测量)、尖端-线(对线端与线之间的间隙的测量)、线长度(对直线的长度的测量)以及隅角到隅角测量。

因此,如上文所描述,本文中所描述的实施例可经配置以执行针对晶片的设计的至少一部分到用于计量过程的ROI的基于设计的分段。另外,所述分段中的一些分段可包含直线分段、笔直间隙分段、线端分段、隅角分段,以及触点分段。可在设计中以本文中所描述的若干种不同方式而确定不同分段及对应ROI。举例来说,可通过将一或多个规则应用于设计而确定分段或ROI。在另一实例中,可如本文中进一步描述地识别穿过设计中的经图案化特征的假想中心线(在其不是设计的部分或不印刷于晶片上的意义上是假想的),且接着那些中心线可用于将经图案化特征分段成若干分段及/或ROI。举例来说,穿过经图案化特征的笔直中心线可用于将经图案化特征的所述笔直中心线穿过其延伸的部分识别为直线分段。在另一实例中,穿过两个经图案化特征之间的空间的笔直中心线可用于将所述空间的所述笔直中心线穿过其延伸的部分识别为笔直间隙分段。在额外实例中,可将经图案化特征的其中两个直线成90度角会合的一部分识别为隅角分段。可以类似方式使用假想中心线识别本文中所描述的其它分段。

一旦计量过程的各个位置已经确定(例如,测量位点位置、对准位点位置、自动聚焦位点位置等),计量配方设置便可包含各个额外步骤,可使用物理晶片对计量工具执行所述额外步骤中的一些步骤。举例来说,所述位置中的一或多者可定位于测量子系统的FOV中。一旦一或多个位置定位于测量子系统的FOV中,便可使用测量子系统的参数(即,光学、电子束或成像参数)的不同值产生测量子系统的输出。接着可将使用参数的不同值产生的不同输出进行比较以确定所述参数中的哪些参数最适用于针对一或多个位置的计量过程中。另外,可针对将在同一计量过程中测量的不同位置而选择不同测量子系统参数。举例来说,可确定最佳(且因此被选择)用于一种类型的ROI中的一个测量类型的一组测量子系统参数,同时可确定最佳(且因此被选择)用于另一不同类型的ROI中的另一不同测量类型的另一不同组测量子系统参数。以类似方式,可在逐位置类型基础上确定通过计算机子系统应用于由测量子系统产生的输出的一或多个方法及/或算法的一或多个参数(使得不同方法及/或算法以及/或相同方法及/或算法的不同参数可应用于在晶片上的不同类型的位置处产生的输出)。

在一些实施例中,计算机子系统经配置以用于通过对准检测器的输出与针对样品的设计而确定在计量过程期间ROI的第一子集及第二子集在样品上的位置。举例来说,计算机子系统可经配置以用于自动SEM到设计精细对准(例如,使用SEM的FOV中的几何形状)。由于全局对准不确保通过测量子系统产生的图像中的结构与设计结构的中心线的对准,因此可执行SEM到设计精细对准。

在对准测量子系统的输出与设计的一些实施例中,穿过输出及设计中的经图案化特征绘制的假想中心线可用于精细对准(而本文中进一步描述的对准标记可用于晶片或者一或多个FOV的全局对准)。图7及8图解说明可在使用输出及设计中的特征的边缘以用于对准时出现的一些问题。举例来说,如在图7中所展示,设计的一部分可包含两个特征(线700及多边形702)。另外,对应于设计的部分的通过测量子系统产生的输出的一部分可包含针对所述两个特征(线704及多边形706)的输出。设计中的特征与测量子系统的输出归因于如上文进一步描述地将设计印刷于晶片上而看起来不同。

可在所关注图案的上部边缘或下部边缘处使用边缘到边缘方法对准测量子系统的输出(例如,SEM图像)与设计。举例来说,如在图7中所展示,如果多边形702及706的水平部分的下部边缘708用于对准,那么针对多边形706在所述多边形的区710及712中执行的线端测量将产生一个测量。然而,如果如在图8中所展示,多边形702及706的水平部分的上部边缘800用于对准,那么针对多边形706在所述多边形的区710及712中执行的线端测量将产生不同测量。以此方式,取决于多边形的哪个边缘用于设计与输出的对准,线端测量将产生不同结果,此由于若干个明显原因(例如,线端拉回测量是不一致的)而是不利的。

因此,代替使用边缘到边缘对准,本文中所描述的实施例可使用输出中及设计中的特征的中心执行测量子系统输出与设计的对准。举例来说,如在图9中所展示,如果多边形702及706的中心用于对准,那么针对多边形706在所述多边形的区710及712中执行的线端测量将产生不同于使用上文描述的边缘对准方法中的任一者的情况的测量。然而,使用特征的中心对准测量子系统的输出与设计将产生更加一致的从ROI到ROI的对准,借此提供对ROI的基本上一致测量(例如,隅角测量、线端拉回测量,以及宽度测量)。使用特征的中心而非其边缘用于对准还可改进针对严重扭曲图案及在FOV不具有用于对准所关注图案的许多特征时的对准的稳健性。

图10到12图解说明设计的一部分中及测量子系统输出中的经图案化特征的中心可如何用于对准设计与输出。举例来说,如在图10中所展示,针对样品的设计的一部分可包含四个不同特征(线1000、1002及1004以及多边形1006的部分)。如在图10中进一步展示,可确定包含于设计的部分中的每一特征的部分的整体的假想中心线。举例来说,可针对线1000、1002及1004的部分确定假想中心线1008、1010及1012。另外,可针对多边形1006确定假想中心线1014。可以任何适合方式确定假想中心线。

还可针对如在测量子系统输出中呈现的经图案化特征确定假想中心线。举例来说,如在图11中所展示,测量子系统输出中的设计的一部分可包含对应于图10中展示的那些部分的四个不同特征,例如线1100、1102及1104以及多边形1106的部分。如在图11中进一步展示,可确定穿过包含于设计的此部分中的每一特征的部分的整体的假想中心线。举例来说,可针对线1100、1102及1104的部分确定假想中心线1108、1110及1112。另外,可针对多边形1106确定假想中心线1114。可如本文中进一步描述地确定假想中心线。

由于可以可再现地确定设计中的经图案化特征的中心线且由于应能够基本上可再现地确定输出中的经图案化特征的中心线,因此假想中心线可用于相对可再现地对准设计中的经图案化特征与输出中的经图案化特征。举例来说,如在图12中所展示,中心线1008与1108的对准1200可用于可再现地对准设计中的线1000与输出中的线1100。在另一实例中,中心线1010与1110的对准1202可用于可再现地对准设计中的线1002与输出中的线1102。另外,中心线1012与1112的对准1204可用于可再现地对准设计中的线1004与输出中的线1104。此外,中心线1014与1114的对准1206可用于可再现地对准设计中的多边形1006与输出中的多边形1106。

当然,为对准设计的一部分中的特征与测量子系统的输出中的设计的相同部分中的特征,并非必须使所述部分中的所有特征的所有中心线彼此对准以便产生所有特征彼此的对准。举例来说,在图12中展示的实例中,设计中的多边形的中心线与输出中的多边形的中心线的对准可用于产生针对设计中的此部分中的多边形以及其余特征的精细设计到输出对准。可再现地能够对准设计中的特征与测量子系统输出中的特征将改进使用对准的结果执行的测量的一致性。

在又一实施例中,测量的参数包含跨越其执行测量的一或多个尺寸的边界。举例来说,计算机子系统可经配置以用于自动产生测量界限。可在运行时间时(在设置期间无需参数)自动确定针对每一独特位点的测量界限。

在一些实施例中,可使用本文中进一步描述的中心线确定跨越其执行测量的尺寸的边界。举例来说,如在图13中所展示,形成于晶片上的设计的一部分可包含四个经图案化特征1300、1302、1304及1306,其在图13中展示为其等可形成于晶片上且接着通过测量子系统来成像。可针对本文中进一步描述的特征中的每一者产生假想中心线1308、1310、1312及1314。还可针对经图案化特征之间的空间产生假想中心线。可通过设计中的两个邻近特征之间的中点来界定针对所述空间的中心线。举例来说,可基于特征1300与任何其它邻近特征(例如,特征1302)的中心线之间的中点而界定中心线1316。可基于特征1302与在此特征的左侧上且延伸超过特征1300的任何其它邻近特征(未在图13中展示)的中心线之间的中点而界定中心线1318。可基于特征1304与任何其它邻近特征(例如,特征1302及1306)的中心线之间的中点而界定中心线1320。可基于特征1302与1306的中心线之间的中点而界定中心线1322。另外,可基于特征1306与此特征的右侧上的任何邻近特征(未在图13中展示)的中心线之间的中点而界定中心线1324。尽管图13中展示的中心线描述为关于如在测量子系统输出中呈现的经图案化特征来界定,但中心线还可或替代地基于如设计本身中呈现的经图案化特征来界定。另外,尽管经图案化特征之间的空间中的中心线在上文描述为基于经图案化特征中的中心线来界定,但所述空间中的中心线可基于经图案化特征的某一其它特性(例如,经图案化特征的边缘)来界定。

经图案化特征之间的空间中的中心线可接着用作用于对经图案化特征执行的任何测量的边界。举例来说,如在图13中所展示,如果将针对经图案化特征1304测量此经图案化特征的临界尺寸(CD),那么可沿着线1326中的一者从经图案化特征的一侧上的中心线1320的位置到经图案化特征的另一侧上的中心线1320的位置且沿基本上垂直于经图案化特征1304内的中心线1312的方向执行测量。以此方式,可沿正交于穿过经图案化特征的中心线的方向执行测量。尽管三个线1326在图13中展示为表示可跨越其执行针对经图案化特征1304的不同测量的尺寸,但可在沿着经图案化特征内的中心线的任何适合位置处执行任何适合数目个此类测量。另外,可沿基本上平行于所述特征的中心线的方向执行测量。举例来说,如在图13中所展示,可沿着线1328中的一者执行测量,且尽管未在图13中展示,但还可通过经图案化特征之间的空间中的中心线确定此类测量的边界,如本文中进一步描述。此外,尽管未在图13中展示,但跨越其执行测量的尺寸可与经图案化特征及/或经图案化特征之间的空间的中心线以除了正交以外的某一角度相交(例如,针对测量半径、针对线端拉回测量、针对线端距离测量等)。

使用经图案化特征之间的空间中的中心线作为对经图案化特征执行的任何测量的边界可有利地确保测量在经图案化特征的外侧开始及结束,借此确保跨越经图案化特征的整个尺寸执行测量,且确保测量的边界充分地在经图案化特征外侧,使得可以充分准确性及/或信心确定在测量期间产生的输出中的经图案化特征的边缘。例如,如果测量开始所处的边界太靠近于经图案化特征的边缘,那么输出内的经图案化特征的边缘的位置可容易与测量边界混淆且/或可在测量边界噪声中丢失。然而,如本文中所描述地使用经图案化特征之间的空间中的中心线来确定测量的边界将基本上消除经图案化特征边缘检测中的任何此类误差。

以类似方式,如果将针对两个经图案化特征之间的空间执行本文中所描述的测量(例如,将测量两个特征之间的间隙),那么可基于围绕所述空间的经图案化特征内的中心线而确定所述测量的边界。以此方式,所述测量可在充分地超过所述空间的边缘的位置处开始及结束,借此确保跨越所述空间的整个尺寸执行所述测量且确保可以相对高准确性及/或信心确定所述空间的边缘。

在一个实施例中,所述测量包含自动确定在对形成于样品上的一或多个结构的一或多个边缘的测量期间由检测器产生的输出中的位置。以此方式,本文中所描述的实施例可经配置以用于自动确定SEM边缘位置。在一些实例中,可使用本文中进一步描述的1D梯度轮廓确定边缘位置。举例来说,可通过发现1D梯度轮廓内的最强正或负梯度峰值而自动确定边缘位置。换句话说,可选择1D梯度轮廓中的峰值点作为边缘位置。接着可基于边缘位置确定特征的CD或其它属性。举例来说,可通过使用正交于穿过结构的中心绘制的线的1D梯度轮廓的正/负梯度峰值、零交叉或负/正梯度峰值定位顶部、中间或底部边缘位置而确定顶部、中间或底部CD。然而,除了使用梯度轮廓以外,还可使用其它测量算法来定位边缘。

在另一实施例中,计算机子系统经配置以用于基于测量的结果而自动产生ROI的第一子集及第二子集中的一者的一或多个属性。以此方式,本文中所描述的实施例可经配置以用于自动产生每一ROI的测量统计及属性。可独立于所有其它ROI的计量结果而确定每一ROI的测量统计。可使用对ROI的多个测量产生各种测量统计(例如,最大值(Max)、最小值(Min)、均值(Mean)、平均值(Average)、中值(Median)、标准偏差(Standard Deviation)、范围(Range)及和(Sum))。在另一实例中,计算机子系统可经配置以用于自动产生其它属性,例如形成于晶片上的经图案化结构的一维(1D)灰度级轮廓。1D灰度级轮廓可通过沿着正交于穿过经图案化结构的中心线或平行于穿过经图案化结构的中心线的线产生的输出而自动产生。计算机子系统还可经配置以用于自动产生1D梯度轮廓,所述1D梯度轮廓可通过采取如上文所描述地确定的1D灰度级轮廓的梯度而自动产生。在一些实例中,ROI内的多个测量可包含每个1D灰度级或梯度轮廓一个测量。测量统计可与实际CD、正ΔCD及负ΔCD相关,其中ΔCD提供相对于设计的CD测量。另外,可使用平行或正交于穿过结构的中心线的1D灰度级轮廓确定各种类型的基于灰度级或梯度的属性(例如峰值局部灰度差、峰值正或负梯度等)。可使用本文中所描述的实施例确定的测量统计及/或属性还不限于本文中所描述的各者。

在额外实施例中,一或多个计算机子系统经配置以用于基于一或多个测量的结果而自动产生第一子集及第二子集中的一者中的ROI的多个实例的一或多个属性,且将多个实例中的两者或多于两者的一或多个属性中的至少一者进行比较以识别多个实例中的两者或多于两者中的离群值。以此方式,本文中所描述的实施例可经配置以用于跨越晶片上的各个位点的测量统计及属性的相对比较以确定离群值。可跨越晶片上的各个位点将ROI中的每一者的测量统计及属性进行比较以确定离群值以用于缺陷检测。

在又一实施例中,一或多个计算机子系统经配置以用于自动选择设计中的一或多个对准位点,且计量过程包含在计量过程期间确定样品上的一或多个对准位点中的至少一者的一或多个位置,且基于样品上的至少一个对准位点的一或多个位置而确定样品上的第一子集及第二子集中的ROI中的一或多者的一或多个位置。举例来说,本文中所描述的实施例可经配置以用于利用物理设计分析自动产生对准位点(用于粗略对准)。在一个此类实例中,在计量计划产生期间,针对每一FOV,计算机子系统可经配置以使用物理设计自动确定针对每一测量位点的独特对准位点及自动聚焦位点。可使用设计分析算法及软件执行自动确定独特对准位点及自动聚焦位点。

在一些实施例中,本文中所描述的系统可经配置以对包含测量子系统以及计算机子系统中的至少一者的计量工具执行每个FOV的计量计划。在一个此类实施例中,所述系统可执行每个FOV的自动聚焦且接着执行每个FOV的锚定点对准。在一些此类实例中,所述系统可从设计数据库取得针对锚定点及测量位点的设计缩略形式以用于自动聚焦及/或锚定点对准。所述系统可进一步经配置以用于每个FOV的测量位点对准且执行用于测量位点的计量计划,例如在FOV内的ROI中执行选定类型的测量。计算机子系统可接着产生每个ROI的测量数据。

在一些实施例中,所述计量过程包括仅基于在第一子集及第二子集中的ROI中的一者中执行的一或多个测量而确定在所述一个ROI中是否存在缺陷。换句话说,ROI中的缺陷检测可不基于在任何其它ROI(在与所述ROI相同的裸片中或在与所述ROI所在的裸片不同的裸片中)中产生的输出或使用此类输出产生的任何测量。举例来说,可将仅使用在ROI中产生的输出产生的针对所述ROI的测量结果与阈值进行比较,且可将高于阈值的任何测量结果确定为缺陷,而可将低于阈值的任何测量结果确定为并非缺陷(或反之亦然)。另外,可使用多于一个阈值(例如,上阈值及下阈值)及/或任何其它适合缺陷检测方法及/或算法执行此类缺陷检测。

以此方式,针对其确定一或多个参数的计量过程可包含基于ROI的单个裸片缺陷检测。可执行此类缺陷检测以通过在ROI位置处产生各种类型的属性(例如,CD测量、梯度量值、局部灰度对比度等)而检测各种缺陷类型(例如,图案缺陷、缺失及/或欠填充外延层、硅锗(SiGe)缺陷等)。

与本文中所描述的实施例相比,当前使用的用于基于ROI的单个裸片缺陷检测的方法使用参考图像或参考外形(所获取或产生)以用于缺陷检测。与基于ROI的单个裸片缺陷检测相比,所获取图像方法具有一半的吞吐量。所产生图像或外形方法经受产生参考的复杂性及不准确性。

在一个实施例中,在ROI的第一子集及第二子集中的一者中执行的一或多个测量包含ROI中的一者的相对于ROI中的其它者的CD测量的CD测量。以此方式,针对其确定一或多个参数的测量可为其中可比较给定晶片上的给定所关注图案(POI)的多个实例的CD的相对CD测量。换句话说,CD测量可为相对测量,而非绝对测量。与本文中所描述的实施例相比,当前使用的用于相对CD测量的方法使用CD-SEM工具,其中用于界定每个位点的多个ROI的配方设置是极消耗人力及时间的过程且因此可针对CD测量而测量每个位点的基本上有限数目个ROI以及每个裸片的有限数目个独特位点。

在额外实施例中,在ROI的第一子集及第二子集中的一者中执行的一或多个测量包含ROI中的一者的相对于ROI中的其它者的叠对测量的叠对测量。以此方式,针对其确定一或多个参数的测量可为相对叠对测量。换句话说,叠对测量可为相对测量,而非绝对测量。可测量多图案化制作过程(例如,双图案化、三图案化或四图案化)、间隔物间距分割制作过程等期间的叠对误差。另外,可测量形成于晶片上的当前层与形成于晶片上的先前层之间的叠对误差。与本文中所描述的实施例相比,当前使用的用于相对叠对测量的方法使用CD-SEM工具,其中用于界定每个位点的多个ROI的配方设置是极消耗人力及时间的过程且因此可针对叠对测量而测量每个位点的基本上有限数目个ROI以及每个裸片的有限数目个独特位点。

在一些实施例中,所述样品包含过程窗鉴定(PWQ)晶片,且所述自动产生包含基于设计及对样品执行的检验过程的结果而自动产生将在计量过程期间测量的ROI。以此方式,针对其确定一或多个参数的测量可包含对PWQ晶片上的图案缺陷的自动化再检查(例如,使用CD测量),通过检验工具(例如可从KLA-Tencor公司商业购得的检验工具中的一者)执行的对晶片的PWQ检验可检测所述图案缺陷。在一些实例中,通过PWQ检验所检测的缺陷可用作计量的热点,且在计量热点处执行的测量及检测可用于改善PWQ窗(例如,针对其执行PWQ的过程参数的窗)。当前使用的用于图案缺陷的自动化PWQ再检查的方法执行通过PWQ检验发现的图案缺陷的手动或自动化基于设计的再检查。手动方法是不准确且不可靠的(例如,用户可错失完全图案故障或可能无法辨别基本上细微(例如,3nm到7nm)CD变化),且基于设计的方法需要发现与计量步骤之间的配方设置。

PWQ检验可如在以下美国专利中所描述地执行:颁予彼得森(Peterson)等人的于2005年6月7日发布的第6,902,855号美国专利、颁予彼得森等人的于2008年8月26日发布的第7,418,124号美国专利、颁予凯克伦(Kekare)等人的于2010年8月3日发布的第7,769,225号美国专利、颁予派克(Pak)等人的于2011年10月18日发布的第8,041,106号美国专利以及颁予彼得森等人的于2012年7月3日发布的第8,213,704号美国专利,所述美国专利如同完整陈述一般以引用方式并入本文中。本文中所描述的实施例可包含在这些专利中描述的任何方法的任何步骤且可如在这些专利中所描述地进一步配置。可如在这些专利中所描述地印刷PWQ晶片。

在又一实施例中,在对样品执行的制作过程的线内监测期间对所述样品执行计量过程。以此方式,针对其确定一或多个参数的计量过程可包含在线内监测期间执行的计量过程(即,对通过生产制作过程产生的晶片执行的测量)。可针对例如门临界尺寸均匀性(CDU)测量、线边缘粗糙度(LER)/线宽粗糙度(LWR)测量、CD/叠对测量等的测量执行此类计量过程。

在另一实施例中,自动产生包含基于设计及对样品执行的检验过程的结果而自动产生将在计量过程期间测量的ROI。举例来说,还可针对通过检验所检测的缺陷的位置执行线内监测,使得所检测缺陷的位置基本上用作检验导引的计量的“热点”。在一些此类实施例中,计量的结果可与检验的结果相关。举例来说,在一些实例中,通过检验产生的图案保真度特征可与在计量期间执行的测量相关。

与本文中所描述的实施例相比,当前使用的用于线内监测期间的计量的方法使用CD-SEM工具在特定计量目标(例如,印刷于晶片上的刻划线中)处执行CD/叠对测量,且由于配方设置在界定ROI中是相当费力的,因此不能够自动测量晶片上的上千个独特位点。一些其它当前使用的用于线内监测的方法包含使用SEM再检查工具从上百万个热点位置随机地取样若干位置以使用裸片到裸片模式执行临界点检验(CPI)。然而,由于随机地取样热点位置,因此当前使用的方法可错失基本上大数目个热点缺陷。

在额外实施例中,一或多个计算机子系统经配置以用于将在ROI的第一子集及第二子集中的一者中执行的一或多个测量与ROI的第一子集及第二子集中的所述一者的设计意图进行比较且基于所述比较的结果而修改光学接近校正(OPC)模型。以此方式,可为了对照设计意图的OPC模型验证执行针对其确定一或多个参数的计量过程。与本文中所描述的实施例相比,当前使用的用于对照设计意图的OPC模型验证的方法使用CD-SEM工具,其中用于界定每个位点的多个ROI的配方设置是极消耗人力及时间的过程且因此可针对CD测量而测量每个位点的极有限数目个ROI以及每个裸片的有限数目个独特位点。针对OPC,需要自动发现薄弱结构且立即及/或自动设置及测量每个裸片的上千个独特位点。

在另一实施例中,一或多个计算机子系统经配置以用于基于一或多个测量而检测ROI的第一子集及第二子集中的一者中的缺陷且报告一或多个测量作为所检测缺陷的缺陷属性。以此方式,计量过程可包含报告图案保真度测量作为通过再检测算法报告的缺陷位置处的缺陷属性。与本文中所描述的实施例相比,当前使用的方法不报告测量统计作为缺陷属性的部分且因此无法量化图案失真是妨害、部分断裂、完全断裂、部分桥接还是完全桥接。

本文中所描述的实施例具有优于当前使用的用于确定计量过程的一或多个参数的方法的若干个优点。举例来说,本文中所描述的实施例提供基本上快速自动化实时机制以产生上千个独特位点的ROI且接着自动产生跨越各个位点的每一ROI的各个测量统计及属性(使用给定位点的SEM图像及物理设计缩略形式),其接着可用于服务于本文中所描述的各个使用情形。

另一实施例涉及一种用于确定将对样品执行的计量过程的一或多个参数的计算机实施的方法。所述方法包含上文描述的自动产生及自动确定步骤。

可如本文中进一步描述地执行所述方法的步骤中的每一者。所述方法还可包含可由本文中所描述的测量子系统及/或计算机子系统或系统执行的任何其它步骤。自动产生及自动确定步骤是由一或多个计算机系统执行,所述一或多个计算机系统可根据本文中所描述的实施例中的任一者而配置。另外,可通过本文中所描述的系统实施例中的任一者来执行上文所描述的方法。

额外实施例涉及一种非暂时性计算机可读媒体,所述非暂时性计算机可读媒体存储可在计算机系统上执行以用于执行用于确定将对样品执行的计量过程的一或多个参数的计算机实施的方法的程序指令。图14中展示一个此类实施例。特定来说,如图14中所展示,非暂时性计算机可读媒体1400包含可在计算机系统1404上执行的程序指令1402。计算机实施的方法可包含本文中所描述的任何方法的任何步骤。

实施例如本文中所描述的那些方法的方法的程序指令1402可存储于计算机可读媒体1400上。计算机可读媒体可为例如磁盘或光盘、磁带等存储媒体,或此项技术中已知的任何其它适合的非暂时性计算机可读媒体。

可以包含基于程序步骤的技术、基于组件的技术及/或面向对象的技术以及其它技术的各种方式中的任一者来实施程序指令。举例来说,可视需要使用ActiveX控件、C++对象、JavaBeans、微软基础类别(“MFC”)、SSE(SIMD流扩展)或者其它技术或方法来实施程序指令。

计算机系统1404可根据本文中所描述的实施例中的任一者而配置。

鉴于此说明,所属领域的技术人员将明了本发明的各个方面的其它修改及替代实施例。举例来说,提供用于确定将对样品执行的计量过程的一或多个参数的方法及系统。因此,此说明应视为仅是说明性的,且是出于教示所属领域的技术人员执行本发明的一般方式的目的。应理解,本文中所展示及所描述的本发明的形式应视为目前优选的实施例。如所属领域的技术人员在受益于本发明的此说明之后均将明了,元件及材料可替代本文中所图解说明及描述的那些元件及材料,部件及过程可颠倒,且本发明的某些特征可独立地利用。可在不背离如所附权利要求书中所描述的本发明的精神及范围的情况下对本文中所描述的元件做出改变。

当前第1页1 2 3 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1