自适应配方选择器的制作方法与工艺

文档序号:11808880阅读:352来源:国知局
自适应配方选择器的制作方法与工艺
自适应配方选择器相关申请的交叉引用本申请涉及于2010年2月11日公布的公开号为2010/0036514的、题为“CreatingMulti-Layer/Multi-Input/Multi-Output(MLMIMO)ModelsforMetal-GateStructure”的共同待审的美国专利申请序列第12/186,668号;以及于2009年10月1日公布的公开号为2009/0242513的、题为“Multi-Layer/Multi-Input/Multi-Output(MLMIMO)ModelsandMethodforUsing”的共同待审的美国专利申请序列第12/059,624号;以及与本申请同日提交的题为“IonEnergyAnalyzerandMethodsofManufacturingandOperating”的共同待审的美国临时专利申请序列第61/468,187号(代理人案号TEA-051PROV)。通过引用将这些申请的每个的内容的全部并入到本文。本申请还涉及Chen等人的于2010年8月17日颁发的题为“Two-GridIonEnergyAnalyzerandMethodsofManufacturingandOperating”的美国专利第7,777,179号,并且通过引用将该专利全部内容并入本文。另外,该申请还涉及Chen等人于2011年1月25日颁发的题为“IonEnergyAnalyzerandMethodsofManufacturingandOperating”的美国专利第7,875,859号,并且通过引用将该专利全部内容并入本文。另外,该申请还涉及Funk等人的于2011年1月25日颁发的、题为“UsingMulti-Layer/Multi-Input/Multi-Output(MLMIMO)ModelsforMetal-GateStructures”的美国专利第7,894,927号,并且通过引用将该专利全部内容并入本文。技术领域本发明涉及晶圆处理,并且更具体地涉及使用离子能量控制(IEC)蚀刻工序以及相关联的离子能量控制多输入/多输出(IEC-MIMO)模型来在晶圆上实时地形成金属-栅结构的设备和方法。

背景技术:
蚀刻处理行为为固有非线性的和相互作用的从步骤到步骤(层)或伴 随着处理堆叠被编译(蚀刻/CVD/注入)。借助基于TokyoElectronLimited(TEL)室和基本处理的物理建模与来自处理细化的英制数据和测量并调节临界尺度(CD)的控制相互作用的知识,可以使用多输入多输出非线性模型、以递归的方式计算并优化侧壁角度(SWA)、深度、膜厚、过蚀刻、底切、表面清洗以及损伤控制。当前的低成本产品使用体硅技术。随着晶体管持续缩小,沟道深度的影响正变得越来越关键(超浅源/漏极延伸)。随着绝缘体上硅(SOI)膜缩小,栅和/或间隔厚度以及SOI膜的厚度的较小变化可以影响晶体管的性能。当蚀刻制程不受控制时,栅附近的材料的移除影响电性能。当前的高性能微处理器使用PDSOI(部分耗尽的绝缘膜上硅膜)—给出0.2伏特的阈值电压。PDSOI膜为约50nm,而栅和/或间隔的减少量可以为总的栅和/或间隔厚度的较大百分比(10%)。下一代SOI膜被称为FDSOI(完全耗尽,给出0.08伏特的阈值电压以及约25nm的厚度)。当前这些膜由于厚度控制均一性和缺陷上的限制而未生产。沟道迁移率随着SOI厚度的减小而降低。在较薄的膜的情况下,金属-栅结构的控制变得更关键。

技术实现要素:
本发明能够提供一种设备和方法,其使用离子能量控制(IEC)蚀刻工序和相关联的(IE)多输入/多输出(MIMO)模型,在图案化晶圆上实时地形成栅结构和目标特征。根据以下的描述及其附图,本发明的其他方面将变得明显。附图说明现在将参照所附示意图仅通过实施例的方式来描述本发明的实施方式,在所附示意图中,相应附图标记表示相应部分,并且其中:图1示出根据本发明的实施方式的处理系统的示例性框图;图2A至图2G示出根据本发明的实施方式的离子能量控制(IEC)蚀刻子系统的示例性框图;图3A至图3G示出根据本发明的实施方式的、附加离子能量控制(IEC)蚀刻子系统的示例性框图;图4示出根据本发明的实施方式的示例性离子能量控制多输入/多输 出(IEC-MIMO)模型优化及控制工艺条件(methodology)的简化框图;图5A、图5A’、图5B以及图5B’示出根据本发明的实施方式的、用于形成金属栅结构的第一离子能量(IE)相关处理工序的示例图;图6A、图6A’、图6B以及图6B’示出根据本发明的实施方式的、用于在目标层中形成特征的第二离子能量(IE)相关处理工序的示例图;图7示出用于根据本发明的实施方式的、两部分离子能量控制多输入/多输出(IEC-MIMO)模型的示例性框图;图8示出根据本发明的实施方式的、用于开发离子能量控制多输入/多输出(IEC-MIMO)模型的制程的示例性流程图;图9示出根据本发明的实施方式的、用于使用IE相关处理工序处理晶圆的方法的简化流程图;图10示出用于根据本发明的实施方式的离子能量(IE)传感器晶圆的示例性框图;图11示出根据本发明的实施方式的使用IE传感器晶圆的方法;以及图12示出根据本发明的实施方式的第一组离子能量分布(IED)数据。具体实施方式基于应用需要可以将(离子能量控制)IEC-MIMO模型细分为有限粒度的多层。每层可以为具有通过材料改变或空间层边界所表示的层分隔的物理材料;层可以包括掩模层、金属栅堆叠层、间隔沉积层以及蚀刻的金属栅堆叠层。可以借助时间或用于分隔步骤的终点检测(EPD)将层映射到(离子能量控制)IEC蚀刻工序或(离子能量优化)IEO蚀刻制程。另外,连续的实时控制器可以与来自计量数据、传感器以及蚀刻模型的组合的实时更新一起运行。可以进行实验设计(DOE)来对每个电势控制旋钮的处理增益、与每层相关联的输入和输出的相互作用以及层到层的处理控制回路的相互作用和增益进行建模。确定每个控制旋钮和输出之间的相互作用的方法可以用于评估并优化模型的稳定性,例如相对增益阵列(RGA)分析。该信息也可以驱使非相互作用的各个反馈回路的设立。IEC-MIMO模型可以用于计算用于一组目标(或目标输出)的最优输入。约束可以为例如时间、气体流量以及层温度的处理参数的范围。在IEC-MIMO模型开发期间,可以应用一组加权来指导优化器在给定时间下优先考虑具有对当前的处理计算有最大价值的输出。目标加权可以用在将方程应用至给定目标和增益常数的加权计算的情况下,该加权计算在当优化器以线性或非线性方式远离目标时有效设障碍(penalizes)。目标可以为中心目标或限制目标(在给定值之上—例如对于SWA)。反馈可以取多回路的形式,一个回路用于在基于实际较小的预测误差来计算反馈误差的情况下的每个目标输出。当使用IEC-MIMO模型时,可以计算每个预测输出误差并且将其与反馈测量结果进行匹配以确定真实误差。反馈滤波方法(例如指数加权移动平均(EWMA)滤波器或卡尔曼(Kalman)滤波器)可以用于过滤噪声。来自与IEC蚀刻工序或IEO蚀刻制程相关的控制器的输出可以包括适合度(GOF)值,并且该GOF值然后可以用作级联控制器的输入。MIMO控制器可以对当执行处理步骤时在不同时间下的更新进行计算,使得控制器能够基于过去的计算、计算的误差、工具状态或材料状态的改变来作出新更新,然后将其合并至最近的更新中。在一些IEC蚀刻工序中,当测得抗蚀参数时,它们可以用于前馈,并且可以基于之前的晶圆反馈和室状态信息对它们进行加权。在批次开始时,IEC-MIMO模型可以配置为使用用于图案化软掩模层的最佳已知值,并且可以将这些值加权至之前批次的分布的中心。在批次处理期间,可以使用加权方法例如EWMA使晶圆至晶圆(W2W)的变化平滑,来测量和过滤用于宽度控制层(WCL)或硬掩模层的参数,并且这些参数可以被反馈以更新当前的前馈SWA值。SWA图案分析函数可以对双模图案分组,因此可以管理两个线程以反馈和/或前馈数据。在一个实例中,SWAW2W变化更通常地通过扫描台驱动,所以可以对两个前馈/反馈线程进行维持来优化性能。在第二实例中,来自光刻工具的W2WCD变化可以通过热板控制,所以可以观察到两个、三个、或四个变化图案。当在光刻工艺之后进行IM测量时,在晶圆处理之前可以建立贯穿整个晶圆的图案,并且在将晶圆发送到蚀刻器之前可以建立晶圆CD和SWA图案。当使用多于一个的处理线程时,可以将线程数量添加为用于晶圆的上下文项。另外,当扫描和/或跟踪单元数、扫描模块数以及热板数可获得时,它们也可以用于对晶圆分组并且建立从光刻工具到蚀刻工具的前馈线程。可替代 地,也可以使用涂布机/显影机的其他组合。当基于上下文组对晶圆进行分类时,可以基于它们的组或IEC蚀刻工序来处理晶圆。当在蚀刻工具中的处理顺序与在光刻工具中的处理顺序相同时,可以对电流反馈(FB)控制器进行编程以调节用于光刻工具内部的引入漂移(incomingdrift)以及用于蚀刻工具内部的引入漂移。本发明提供一种用于处理晶圆的设备及方法,其中在晶圆上具有大量的半导体器件并且甚至具有更大数量的晶体管栅和/或间隔结构。在各种实施方式中,提供设备及方法用于创建和/或使用IEC-MIMO评估库,用于执行IE相关处理工序,该处理工序可以包括一个或更多个IEC金属栅蚀刻工序、一个或更多个IEC目标层蚀刻工序、一个或更多个测量制程、一个或更多个清洗制程,和/或一个或更多个验证制程。一个或更多个周期性结构可以设置在晶圆上的不同位置处并且可以用于评估和/或验证IEC-MIMO模型以及相关联的IE相关处理工序。晶圆可以具有与其相关联的晶圆数据,并且晶圆数据可以包括实时的以及历史的IE相关数据。另外,晶圆可以具有与其相关联的其他数据,并且其他数据可以包括栅结构数据、所需位点的数量,访问位点的数量,用于位点中的一个或更多个位点的置信数据和/或风险数据、位点排序数据、传送工序数据、或处理相关数据、或评估/验证相关数据、或者其任意组合。与IE相关处理工序相关联的数据可以包括可以用于建立传送晶圆的地点和时间的传送工序数据,并且可以使用操作状态数据来改变IE相关处理工序。基于应用需要可以将IEC-MIMO模型细分为有限粒度的多层。每层可以为具有通过材料改变或空间层边界所表示的层分隔的物理材料。层可以包括掩模层、金属栅堆叠层、间隔沉积层以及蚀刻的金属栅堆叠层。可以借助时间或用于分隔步骤的终点检测(EPD)将层映射至蚀刻步骤。另外,连续的实时控制器可以与来自计量数据、传感器以及蚀刻模型的组合的实时更新一起运行。用在处理中的分析器件基于单回路控制与多变量控制的对比来控制多变量应用;在多变量控制的某种理想化下表达为输入输出变量对之间的单回路行为的测量与相同输入输出对的行为的相关测量的比率的阵列(对于所有可能的输入输出对)。MIMO建模用于计算一组目标(或目标输出)的最优输入。约束可 以为例如时间、气体流量以及层温度的处理参数的范围。借助MIMO,可以应用一组加权来指导优化器在给定时间下优先考虑具有对当前的处理计算有最大价值的输出。目标加权可以用在将方程应用至给定目标和增益常数的加权计算的情况下,该加权计算在当优化器以线性或非线性方式远离目标时有效设障碍(penalizes)。目标可以为中心目标或限制目标(在给定值之上—例如对于SWA)。反馈可以取多个回路的形式,一个回路用于在基于实际较小的预测误差来计算反馈误差的情况下的每个目标输出。借助MIMO,需要计算每个预测输出误差并且将其与反馈测量结果匹配以确定真实误差。反馈滤波方法(例如EWMA或卡尔曼滤波器)可以用于过滤噪声。层控制器的输出可以包括适合度,并且该GOF值然后可以用作级联层控制器的输入。可以将晶圆划分为一个或更多个上边缘区,一个或更多个中心区以及一个或更多个下边缘区。层控制器可以包含当执行处理步骤时、在不同时间下的更新,使得控制器能够基于过去的计算、计算的误差、工具状态或材料状态的改变来作出新更新,然后将其合并至更新中。当特征尺寸下降至65nm节点之下时,精确处理和/或测量数据变得更重要且更难获得。IEC-MIMO模型以及相关联的IE相关处理工序可以用于更精确地处理和/或测量这些超小器件和特征。来自IEO蚀刻制程的数据可以与警告和/或控制限制进行比较,当违反了运行规则时,可以生成指出处理问题的警报,并且可以实时地执行校正制程。图1示出根据本发明的实施方式的处理系统的示例性框图。在示出的实施方式中,处理系统100包括光刻子系统110、扫描子系统120、蚀刻子系统130、沉积子系统140、检查子系统150、计量子系统160、传送子系统170、制造执行系统(MES)180、系统控制器190以及存储器/数据库195。在示出的实施方式中示出了单个的子系统(110、120、130、140、150、160以及170),但这不是本发明所必需的。在一些实施方式中,多个子系统(110、120、130、140、150、160以及170)可以用在处理系统100中。另外,子系统(110、120、130、140、150、160以及170)中的一个或更多个子系统可以包括可以用在IEC-MIMO模型以及相关联的IE相关处理工序中的一个或更多个处理元件。可以使用数据传送子系统191将系统控制器190耦接到光刻子系统 110、扫描子系统120、蚀刻子系统130、沉积子系统140、检查子系统150、计量子系统160以及传送子系统170。可以使用数据传送子系统181将系统控制器190耦接到MES180。可替代地,可以使用其他构造。例如,蚀刻子系统130、沉积子系统140、计量子系统160以及一部分传送子系统170可以为从TokyoElectronLimited可获得的TactrasTM系统的一部分。光刻子系统110可以包括一个或更多个传送/存储元件112、一个或更多个处理元件113、一个或更多个控制器114以及一个或更多个评估元件115。可以将传送/存储元件112中的一个或更多个耦接到处理元件113中的一个或更多个和/或评估元件115中的一个或更多个,并且可以耦接111至传送子系统170。可以将传送子系统170耦接111至光刻子系统110,并且一个或更多个晶圆105可以在传送子系统170与光刻子系统110之间实时地进行传送111。例如,可以将传送子系统170耦接到传送/存储元件112中的一个或更多个、耦接到处理元件113中的一个或更多个和/或耦接到评估元件115中的一个或更多个。可以将控制器114中的一个或更多个耦接到传送/存储元件112中的一个或更多个、耦接到处理元件113中的一个或更多个和/或耦接到评估元件115中的一个或更多个。在一些实施方式中,光刻子系统110可以使用制程和/或制程在一个或更多个晶圆上执行涂覆制程、热制程、测量制程、检查制程和/或存储制程。例如,一个或更多个光刻相关处理可以用于沉积可包括光刻胶材料和/或抗反射涂层(ARC)材料的一个或更多个掩模层,并且可以用于对掩模层中的一个或更多个进行热处理(烘烤)。另外,光刻子系统110可以用于对一个或更多个上晶圆上的图案化的掩模层中的一个或更多个图案化的掩模层进行显影、测量和/或检查。扫描子系统120可以包括一个或更多个传送/存储元件122、一个或更多个处理元件123、一个或更多个控制器124以及一个或更多个评估元件125。可以将传送/存储元件122中的一个或更多个耦接到处理元件123中的一个或更多个和/或耦接到评估元件125中的一个或更多个,并且可以使用连结物121耦接到传送子系统170。可以使用连结物121将传送子系统170耦接到扫描子系统120,并且一个或更多个晶圆105可以在传送子系统170与光刻子系统120之间实时地进行传送121。例如,可以将传送子系统170耦接到传送/存储元件122中的一个或更多个、耦接到处理元件123中的一个或更多个、和/或耦接到评估元件125中的一个或更多个。 可以将控制器124中的一个或更多个控制器耦接到传送/存储元件122中的一个或更多个、耦接到处理元件123中的一个或更多个、和/或耦接到评估元件125中的一个或更多个。在一些实施方式中,扫描子系统120可以用于执行湿法曝光制程和/或干法曝光制程,并且在其他情况下,扫描子系统120可以用于执行远紫外(EUV)曝光制程。蚀刻子系统130可以包括一个或更多个传送/存储元件132、一个或更多个处理元件133、一个或更多个控制器134以及一个或更多个评估元件135。可以将传送/存储元件132中的一个或更多个耦接到处理元件133中的一个或更多个和/或耦接到评估元件135中的一个或更多个,并且可以使用连结物131耦接到传送子系统170。可以使用连结物131将传送子系统170耦接到蚀刻子系统130,并且一个或更多个晶圆105可以在传送子系统170与蚀刻子系统130之间实时地进行传送131。例如,可以将传送子系统170耦接到传送/存储元件132中的一个或更多个、耦接到处理元件133中的一个或更多个、和/或耦接到评估元件135中的一个或更多个。可以将控制器134中的一个或更多个耦接到传送/存储元件132中的一个或更多个、耦接到处理元件133中的一个或更多个、和/或耦接到评估元件135中的一个或更多个。例如,处理元件133中的一个或更多个可以用于执行等离子体或非等离子体蚀刻、灰化、修整以及清洗制程。评估制程和/或检查制程可以用于对晶圆的一个或更多个表面和/或层进行测量和/或检查。蚀刻子系统130可以配置为如图2A至图2G以及图3A至图3G所示。沉积子系统140可以包括一个或更多个传送/存储元件142、一个或更多个处理元件143、一个或更多个控制器144以及一个或更多个评估元件145。可以将传送/存储元件142中的一个或更多个耦接到处理元件143中的一个或更多个和/或评估元件145中的一个或更多个,并且可以使用连结物141耦接到传送子系统170。可以使用连结物141将传送子系统170耦接到沉积子系统140,并且一个或更多个晶圆105可以在传送子系统170与沉积子系统140之间实时地进行传送141。例如,可以将传送子系统170耦接到传送/存储元件142中的一个或更多个、耦接到处理元件143中的一个或更多个、和/或耦接到评估元件145中的一个或更多个。可以将控制器144中的一个或更多个控制器耦接到传送/存储元件142中的一个或更多个、耦接到处理元件143中的一个或更多个、和/或耦接到评估元件 145中的一个或更多个元件。例如,处理元件143中的一个或更多个可以用于执行物理气相沉积(PVD)制程、化学气相沉积(CVD)制程、离子化物理气相沉积(iPVD)制程、原子层沉积(ALD)制程、等离子体增强原子层沉积(PEALD)制程、和/或等离子体增强化学气相沉积(PECVD)制程。评估制程和/或检查制程可以用于对晶圆的一个或更多个表面进行测量和/或检查。检查子系统150可以包括一个或更多个传送/存储元件152、一个或更多个处理元件153、一个或更多个控制器154以及一个或更多个评估元件155。可以将传送/存储元件152中的一个或更多个耦接到处理元件153中的一个或更多个和/或耦接到评估元件155中的一个或更多个,并且可以使用连结物151耦接到传送子系统170。可以使用连结物151将传送子系统170耦接到检查子系统150,并且一个或更多个晶圆105可以在传送子系统170与检查子系统150之间实时地进行传送151。例如,可以将传送子系统170耦接到传送/存储元件152中的一个或更多个、耦接到处理元件153中的一个或更多个、和/或耦接到评估元件155中的一个或更多个。可以将控制器154中的一个或更多个控制器耦接到传送/存储元件152中的一个或更多个、耦接到处理元件153中的一个或更多个、和/或耦接到评估元件155中的一个或更多个。计量子系统160可以包括一个或更多个传送/存储元件162、一个或更多个处理元件163、一个或更多个控制器164以及一个或更多个评估元件165。可以将传送/存储元件162中的一个或更多个耦接到处理元件163中的一个或更多个和/或耦接到评估元件165中的一个或更多个,并且可以使用连结物161耦接到传送子系统170。可以使用连结物161将传送子系统170耦接到计量子系统160,并且一个或更多个晶圆105可以在传送子系统170与计量子系统160之间实时地进行传送161。例如,可以将传送子系统170耦接到传送/存储元件162中的一个或更多个、耦接到处理元件163中的一个或更多个、和/或耦接到评估元件165中的一个或更多个。可以将控制器164中的一个或更多个控制器耦接到传送/存储元件162中的一个或更多个、耦接到处理元件163中的一个或更多个、和/或耦接到评估元件165中的一个或更多个。计量子系统160可以包括可以用于执行实时光学计量制程的一个或更多个处理元件163,其可以用于使用基于库或基于回归的技术测量在晶圆上一个或更多个位点处的目标结构。例如,晶圆上的位点可以包括MIMO位点、目标位点、重叠位点、对准位点、测量位点、验证位点、检查位点、或损伤评估位点、或者其任意组合。例 如可以周期性地存储和使用一个或更多个“黄金晶圆”或参考芯片来验证处理元件163中的一个或更多个和/或评估元件165中的一个或更多个的性能。在一些实施方式中,计量子系统160可以包括集成光学数字轮廓仪(iODP)元件(未示出),并且iODP可从TimbreTechnologiesInc.(TEL公司)获得。可替代地,可以使用其他计量系统。例如,iODP技术可以用于获得可以包括临界尺寸(CD)数据、栅结构数据以及厚度数据的实时数据,并且iODP数据的波长范围可以在从小于约200nm至大于约900nm的范围内。示例性iODP元件可以包括ODP轮廓库元件、轮廓应用服务器(PAS)元件以及ODP轮廓软件元件。ODP轮廓库元件可以包括光学光谱及其相应的半导体轮廓、CD以及膜厚的专用数据库元件。PAS元件可以包括与光学硬件和计算网络连接的至少一个计算机。PAS元件可以配置为提供数据通信、ODP库操作、测量处理、结果生成、结果分析以及结果输出。ODP轮廓软件元件可以包括安装在PAS元件上以管理测量配方的软件、ODP轮廓库元件、ODP轮廓数据、ODP轮廓搜索/匹配结果、ODP轮廓计算/分析结果、数据通信以及至各种计量元件和计算机网络的PAS接口。计量子系统160可以使用偏振反射计、分光椭圆偏光计、反射计或其他光学测量技术来测量晶圆的精确器件轮廓、精确的CD以及多层膜厚度。集成计量处理(iODP)可以实施为在子系统的集成组中的集成处理。另外,集成处理消除了破坏晶圆以执行分析或者长时间等待来自外部系统的数据的需要。IODP技术可以与现有的薄膜计量系统一起用于成线轮廓(inlineprofile)和CD测量,并且可以与TEL处理系统和/或光刻系统集成以提供实时的过程监测和控制。可以通过应用麦克斯维尔方程并且使用数值分析技术来解麦克斯维尔方程而生成模拟计量数据。可替代地,训练的工具学习系统可以用于生成模拟计量数据。传送子系统170可以包括耦接到传送轨道(175、176以及177)的传送元件174,传送轨道(175、176以及177)可以用于接收晶圆、传送晶圆、对准晶圆、存储晶圆和/或延缓晶圆。例如,传送元件174可以支撑两个或更多个晶圆。可替代地,可以使用其他传送装置。传送子系统170可以基于IEC-MIMO模型、IE相关处理工序、传送工序、操作状态、晶圆和/或处理状态、处理时间、当前时间、晶圆数据、晶圆上位点的数量、晶圆上位点的类型、所需位点的数量、完成位点的数量、剩余位点的数量 或置信数据,或者其任意组合,加载、传送、存储和/或卸载晶圆。。在一些实施例中,传送子系统170可以使用加载数据来确定传送晶圆的地点和时间。在其他实施例中,传送系统可以使用MIMO建模数据来确定传送晶圆的地点和时间。可以替代地,可以使用其他制程。例如,当晶圆的第一数量小于或等于可获得的处理元件的第一数量时,可以使用传送子系统170将第一数量的晶圆传送至在子系统中的一个或更多个中的可获得的第一数量的处理元件。当晶圆的第一数量大于可获得的处理元件的第一数量时,可以使用传送/存储元件(112、122、132、142、152以及162)中的一个或更多个和/或传送子系统170存储和/或延缓晶圆。另外,当执行以下制程时可以使用一个或更多个子系统(110、120、130、140、150、160以及170):光刻相关制程、扫描相关制程、检查相关制程、测量相关制程、评估相关制程、蚀刻相关制程、沉积相关制程、热处理相关制程、涂覆相关制程、对准相关制程、研磨相关制程、存储相关制程、传送制程、清洗相关制程、再加工相关制程、氧化相关制程、氮化相关制程、或外部处理元件,或者其任意组合。可以建立用于子系统(110、120、130、140、150、160以及170)的操作状态数据,并且可以由IE相关工序使用和/或更新操作状态数据。另外,可以建立用于传送/存储元件(112、122、132、142、152以及162)、处理元件(113、123、133、143、153以及163)以及评估元件(115、125、135、145、155以及165)的操作状态数据,并且可以由IE相关工序对其进行更新。例如,用于处理元件的操作状态数据可以包括可用性数据、用于处理元件的匹配数据、用于一些处理步骤和/或位点的预期处理次数,产量数据、用于处理元件的置信数据和/或风险数据、或者用于一个或更多个IE相关工序的置信数据和/或风险数据。更新操作状态可以通过对一个或更多个处理元件和/或一个或更多个子系统进行实时查询来获得。更新加载数据可以通过对一个或更多个传送元件和/或一个或更多个传送子系统进行实时查询来获得。可以使用数据传送子系统191将控制器(114、124、134、144、154以及164)中的一个或更多个耦接到系统控制器190和/或将其彼此耦接。可替代地,可以使用其他耦接构造。控制器可以串联和/或并联耦接并且可以具有一个或更多个输入端口和/或一个或更多个输出端口。例如,控制器可以包括具有一个或更多个核处理元件的微处理器。另外,可以使用内网、因特网、有线和/或无线连接将子系统(110、 120、130、140、150、160以及170)彼此耦接并且耦接到其他器件。根据需要,可以将控制器(114、124、134、144以及190)耦接到外部器件。在执行实时的IE相关工序时,可以使用控制器(114、124、134、144、154、164以及190)中的一个或更多个。控制器可以从离子能量(IE)MIMO模型接收实时数据以更新子系统数据、处理元件数据、处理数据、配方数据、轮廓数据、图像数据、图案数据、模拟数据、工序数据和/或建模数据。控制器(114、124、134、144、154、164以及190)中的一个或更多个可以用于与制造执行系统(MES)180或其他系统(未示出)交换一个或更多个半导体装备通信标准(SECS)消息,读取和/或移除信息、前馈和/或反馈信息、和/或发送作为SECS消息的信息。格式化的消息中的一个或更多个可以在控制器之间进行交换,并且控制器可以实时地处理消息并提取新数据。当新数据可获得时,可以实时地使用新数据对当前用于晶圆和/或批次的模型和/或制程进行更新。例如,在检测当前布局之前,当模型和/或制程可以更新时,可以使用更新模型和/或制程检查当前布局。在处理当前布局之前,当更新不能执行时,可以使用未更新模型和/或制程来检查当前布局。另外,当光刻胶改变时,当光刻胶模型改变时,当IE相关处理工序改变时,当设计规则改变时或当布置改变时,可以使用格式化消息。在一些实例中,MES180可以配置为实时地监测一些子系统和/或系统处理,并且可以使用工厂级的干预和/或判断规则来确定监测哪个处理以及可以使用哪个数据。例如,可以使用工厂级的干预和/或判断规则来确定在MIMO相关错误条件发生时如何管理数据。MES180也可以提供建模数据、工序数据、处理数据和/或晶圆数据。另外,根据需要,控制器(114、124、134、144、154、164以及190)可以包括存储器(未示出)。例如,存储器(未示出)可以用于存储待由控制器执行的信息和指令,并且可以用于在由处理系统100中的各种计算机/处理器执行指令期间存储临时变量或其他中间信息。控制器(114、124、134、144、154、164以及190)中的一个或更多个或其他系统构件可以包括用于从计算机可读介质读取数据和/或指令的装置,并且可以包括用于将数据和/或指令写入计算机可读介质的装置。处理系统100可以响应于执行包含在存储器中和/或在信息中接收的一个或更多个指令的一个或更多个工序的处理系统100中的计算机/处理器执行本发明的处理步骤中的部分步骤或所有步骤。可以从另一个计算 机、计算机可读介质或网络连接接收这样的指令。在一些实施方式中,可以使用来自TokyoElectronLimited(TEL)的系统构件来配置集成系统,并且可以包括外部子系统和/或工具。例如,测量元件可以设置为可以包括CD扫描电子显微镜(CDSEM)系统、透射电子显微镜(TEM)系统、聚焦离子束(FIB)系统、光学数字轮廓仪(ODP)系统、原子力显微镜(AFM)系统或另一光学计量系统。子系统和/或处理元件可以具有不同的接口要求,并且控制器可以配置为满足这些不同接口要求。子系统(110、120、130、140、150、160以及170)中的一个或更多个可以执行控制应用、图形用户界面(GUI)应用和/或数据库应用。另外,子系统(110、120、130、140、150、160以及170)和/或控制器(114、124、134、144、154、164以及190)中的一个或更多个可以包括实验设计(DOE)应用、先进过程控制(APC)应用、故障检测与分类(FDC)应用和/或连续运行(R2R)应用。来自IE相关工序或建模制程的输出数据和/或消息可以用在随后的工序和/或制程,以优化处理精确度和准确性。可以实时地将数据作为实时变量参数传递至IEC蚀刻工序或IEO蚀刻制程,覆盖当前模型值以及简化DOE表格。实时数据可以与基于库的系统、或基于回归的系统、或者其任意组合一起使用来优化IEC蚀刻工序或IEO蚀刻制程。当使用基于回归的库创建制程时,测量的IEC-MIMO模型数据可以与模拟的IEC-MIMO模型数据进行对比。基于各组IEO处理参数,可以迭代地生成模拟IEC-MIMO模型数据,以获得对于一组IEO处理参数的收敛值,该值生成与测量的IEC-MIMO模型数据相比最接近匹配的模拟IEC-MIMO模型数据。当使用基于库的处理时,可以使用IEC-MIMO模型制程、配方、轮廓和/或模型生成和/或增强IEC-MIMO模型库。例如,IEC-MIMO模型库可以包括模拟和/或测量的IEC-MIMO数据以及对应组的IEC蚀刻工序数据。可以实时地执行基于回归和/或基于库的处理。生成用于IEC-MIMO库的数据的可替代的制程包括使用机器学习系统(MLS)。例如,在生成IEC-MIMO库数据以前,可以使用已知的输入和输出数据训练MLS,并且可以用IEC-MIMO库数据的子集训练MLS。IEC-MIMO模型可以包括干预和/或判断规则,其可以在遇到匹配的情形时执行。干预和/或判断规则和/或限制可以基于历史制程、基于客户的经验或处理知识建立,或者从主计算机获得。规则可以用在故障检测与 分类(FDC)制程,以确定如何响应于警报条件、错误条件、故障条件和或警告条件。基于FDC制程的规则可以对故障进行优选考虑和/或分类、预测系统性能、预测预防维护计划、降低维护停工期,以及延长系统中消耗部件的工作寿命。响应于警报/故障可以发生各种动作,并且呈现警报/故障的动作可以基于情形,并且情形数据可以通过以下来指定:规则、系统/处理配方、室类型、识别号、负载端口号、盒子号、批次号、控制任务ID、处理任务ID、槽号和/或数据的类型。当超出限制时,不成功的IEO蚀刻制程或IEC蚀刻工序可以报告失败,并且当正接近限制时,成功的IEO蚀刻制程或IEC蚀刻工序可以创建警告信息。对于已知错误的预先指明的失败动作可以存储在数据库中,并且当错误发生时可以从数据库重新获得。例如,当IE数据收集或确认制程(validationprocedure)失败时,IEO蚀刻制程或IEC蚀刻工序可以在处理次数中的一次或更多次处拒绝IE相关数据。另外,当测量制程失败时,IEO蚀刻制程或IEC蚀刻工序可以在用于晶圆的位点中的一个或更多个位点处拒绝数据。IEO蚀刻制程、IEC蚀刻工序和/或IEC-MIMO模型可以用于在不同时间和/或位点形成、修正和/或评估孤立的和/或嵌套的结构。例如,栅堆叠空间和晶圆厚度数据在孤立的和/或嵌套的结构附近可以是不同的,并且栅堆叠尺寸和晶圆厚度数据在开口区域和/或沟槽阵列区域附近可以是不同的。IEC-MIMO模型可以形成用于孤立的和/或嵌套的结构的优化的数据来更新和/或优化处理配方和/或处理时间。IEO蚀刻制程、IEC蚀刻工序和/或IEC-MIMO模型可以使用终点检测(EPD)数据以及处理时间数据来提高精确度。当EPD数据用于停止蚀刻制程时,EPD时间数据和处理速率数据可以用于估计蚀刻的量和/或用于估计厚度。在各种实例中,IE相关数据限制可以通过使用IE传感器晶圆在测试处理室中执行IEO蚀刻制程来获得,可以为存储在库中的历史数据,可以通过执行经验证的IEC蚀刻工序来获得,可以从MES180获得,可以为模拟数据,以及可以为预测数据。另外,IE相关制程限制可以通过在“参考/黄金”处理室中执行IE相关蚀刻制程来获得。图2A至图2G示出根据本发明的实施方式的离子能量控制(IEC)蚀刻子系统的示例性框图。第一示例性IEC蚀刻子系统200A示出在图2A中,并且所示的IEC蚀刻子系统200A包括离子能量控制(IEC)处理室210;晶圆保持器220,其上附有待处理的晶圆205;气体供应系统240;以及真空泵系统257。例如,可以将晶圆保持器220耦接到IEC处理室210并且使用基座225与IEC处理室210绝缘。晶圆205可以为,例如,半导体晶圆、工件或液晶显示器(LCD)。例如,IEC处理室210可以配置为有利于在与晶圆205的表面相邻的处理区249中生成离子能量优化(IEO)等离子体,并且IEO等离子体经由热电子与能够电离的气体之间的碰撞来形成。可以从气体供应系统240将能够电离的气体或气体混合物引入,并且使用真空泵系统257对处理压力进行调节。期望地,IEO等离子体可以用于形成针对预定材料处理的材料,以及用于对沉积材料至晶圆205或从晶圆205的露出的表面移除材料进行辅助。例如,控制器295可以用于控制真空泵系统257和气体供应系统240。例如,可以经由机器人传输系统穿过槽阀(未示出)和室馈通(chamberfeed-through)(未示出)将晶圆205传送进出IEC处理室210,在机器人传送系统中,晶圆205被容纳在晶圆保持器220内的晶圆顶杆(未示出)接收,并且通过容纳在其中的装置机械地平移。在从传送系统接收晶圆205之后,将其下降至晶圆保持器220的上表面。例如,可以经由静电夹钳系统(未示出)将晶圆205固定于晶圆保持器220。晶圆保持器220可以包括可以耦接到温度控制系统228的温度控制元件229。例如,温度控制元件229可以包括电阻加热元件、或热电加热器/冷却器。可以经由双(中心/边缘)背面气体传输系统(226和227)将背面气体传输至晶圆的背面以提升晶圆205与晶圆保持器220之间的气体间距热导率。当在提高或减低温度的情况下需要附加的晶圆的温度控制时,可以利用双(中心/边缘)背面气体传输系统(226和227)。例如,晶圆的温度控制可以在超过稳定状态温度的温度下是有用的,该稳定状态温度由于从等离子体传递至晶圆205的热流与通过向晶圆保持器220的传导而从晶圆205移除的热流的平衡而实现。如图2A所示,晶圆保持器220包括下电极232,通过下电极232可以将射频(RF)功率耦合到处理区249中的等离子体。例如,可以通过经由阻抗匹配网络231将RE功率从RF生成器230传送至下电极232来以RF电压对下电极232进行电偏置。RF偏置可以用于加热电子以形成并维持IEO等离子体。用于RF偏置的典型的频率可以在从1MHz至100 MHz的范围内并且优选地为13.56MHz。可替代地,可以以多个频率将RF功率施加到下电极232。此外,阻抗匹配网络231用于通过使反射功率最小化,使IEC处理室210中RF功率向IEO等离子体的传递最大化。可以利用各种匹配网络拓扑和自动控制方法。继续参照图2A,可以使用接口元件241将气体供应系统240耦接到气体压力室242,并且可以将气体压力室242耦接到气体分配元件(245a和245b)。气体分配元件(245a和245b)可以将不同流量(247a和247b)的处理气体提供到处理区249中的一个或更多个区域。例如,处理气体可以包括用于氧化蚀刻应用的气体的混合物(例如氩、四氟化碳(CF4)和氧气(O2)、或者氩(Ar)、C4F8和O2),或者其他化学物(例如O2/CO/Ar/C4F8、O2/CO/Ar/C5F8、O2/CO/Ar/C4F6、O2/Ar/C4F6、N2/H2、溴化氢(HBr))。气体分配元件(245a和245b)可以配置为使引入至晶圆205的污染物降低或最小化,并且可以包括多孔气体注入喷嘴。例如,处理气体可以从气体供应系统240供应。气体分配元件(245a和245b)可以向处理区249的不同区域提供不同的流量(247a和247b)。另外,气体分配元件(245a和245b)可以向处理区249的不同区域提供不同的处理气体。真空泵系统257可以包括能够使泵速高达5000升每秒(以及更大)的涡轮分子真空泵(TMP)258以及用于控制室压力的闸门阀259。在用于干法等离子体蚀刻处理的常规等离子体处理装置中,通常使用1000升每秒至3000升每秒的TMP。TMP对于低压处理(通常小于50毫托)是有用的。在高压处理(例如,大于100毫托)时,可以使用机械增压泵和干式粗抽泵。此外,可以将用于监测室压力的装置(未示出)耦接到IEC处理室210。压力测量装置可以为,例如,万机仪器有限公司(MKSInstruments,Inc.)(安杜佛,马萨诸塞州—Andover,MA)市售的628B型Baratron绝对电容压力计。如图2A所示,IEC蚀刻子系统200A可以包括一个或更多个耦接到IEC处理室210以获得处理数据的处理传感器236,以及可以耦接到处理传感器236以接收处理数据的控制器295。处理传感器236可以包括内置于IEC处理室210的传感器以及外置于IEC处理室210的传感器两者。内置传感器可以包括那些关于IEC处理室210的功能性的传感器,例如对以下的测量:氦背面气体压力、氦背面流量、静电夹钳(ESC)电压、 ESC电流、晶圆保持器温度(或下电极(LEL)温度)、冷却剂温度、上电极(UEL)温度、正向RF功率、反射RF功率、RF自致DC偏置、RF峰至峰电压、室壁温度、处理气体流量、处理气体分压、室压力、匹配网络设置、聚焦环厚度、RF小时、聚焦环RF小时以及其任意统计量。另外,外置传感器可以包括用于监测如图2A所示的从处理区249中的等离子体发射的光的一个或更多个光学装置。光学装置可以包括可以用作终点检测(EPD)并且可以提供EPD数据的光学传感器。例如,可以使用光学发射光谱方法(OES)传感器。处理传感器236可以包括电流和/或电压探针、功率计、或光谱分析器。例如,处理传感器236可以包括RF阻抗分析器。在一些实施方式中,IEC蚀刻子系统200A可以包括耦接到IEC处理室210以获得第一IE相关性能数据的一个或更多个第一离子能量(IE)传感器234,以及耦接到IE传感器234以接收第一IE相关性能数据的控制器295。另外,IEC蚀刻子系统200A可以包括耦接到晶圆保持器220以获得第二IE相关性能数据的一个或更多个第二离子能量(IE)传感器223、以及耦接到IE传感器223以处理IE相关性能数据的IE控制单元222。例如,IE信号的测量(例如电压或电流的时间跟踪)允许使用离散傅里叶级数表达式将IE信号转换到频域中(假设为周期性信号)。此后,可以对傅里叶谱(或对于时间变化的信号,频率谱)进行监测和分析以表征IEO等离子体的状态。控制器295包括微处理器、存储器以及数字输入/输出(I/O)端口(潜在地包括数模(D/A)和/或模数(A/D)转换器),其能够生成控制电压,足以用于连通并且激活蚀刻子系统200的输入以及监测蚀刻子系统200的输出。如图2A所示,可以使用一个或更多个接口296,将控制器295与以下构件进行耦接并交换信息:IEC处理室210、IE控制单元222、背面气体传输系统(226和227)、温度控制系统228、第一RF生成器230、阻抗匹配网络231、IE传感器234、处理传感器236、气体供应系统240、气体压力室242以及真空泵系统257。可以利用存储在存储器中的程序来根据所存储的IE相关处理配方与IEC蚀刻子系统200A的上述构件相互作用。在图2B所示的示例性实施方式中,IEC蚀刻子系统200B可以与图2A的实施方式类似,并且除参照图2A所描述的那些构件之外,还包括固定的或者机械地或电动旋转的磁场系统255,以便潜在地增加等离子体 密度和/或提升等离子体处理均一性。而且,可以将控制器295耦接到磁场系统255以便调节旋转速度和场强。旋转磁场的设计以及实施对于本领域技术人员是已知的。在图2C所示的实施方式中,IEC蚀刻子系统200C可以与图2A或图2B的实施方式类似,并且还可以包括上电极274,通过可选的阻抗匹配网络272可以从RF生成器270将RF功率耦合到上电极274上。用于施加RF功率到上电极的频率可以在约0.1MHz至约200MHZ的范围内。另外,用于施加功率到下电极232的频率可以在约0.1MHz至约100MHz的范围内。而且,可以将控制器295耦接到RF生成器270以及阻抗匹配网络272以便控制将RF功率施加到上电极274。上电极的设计以及实施对于本领域技术人员是熟知的。可以将上电极274和气体压力室242如所示彼此耦接。在图2D所示的实施方式中,IEC蚀刻子系统200D可以与图2A和图2B的实施方式类似,并且还可以包括电感线圈283,通过可选的阻抗匹配网络282经由RF生成器280将RF功率耦合到电感线圈283上。可以从穿过介电窗口(未示出)的电感线圈283将RF功率电感耦合到处理区249。施加RF功率到电感线圈283的频率可以在约10MHz至约100MHz的范围内。类似地,施加功率到下电极232的频率可以在约0.1MHz至约100MHz的范围内。另外,可以使用槽缝的法拉第屏蔽(未示出)来降低电感线圈283与IEO等离子体之间的电容耦合。而且,可以将控制器295耦接到RF生成器280以及阻抗匹配网络282以便控制到电感线圈283的功率的施加。在可替代的实施方式中(未示出),可以用于电感线圈的为“螺旋”线圈或“扁平”线圈构造。电感耦合等离子体(ICP)源或变压器耦合等离子体(TCP)源的设计以及实施对于本领域技术人员是熟知的。在图2E所示的实施方式中,IEC蚀刻子系统200E可以例如与图2A、图2B、图2C以及图2D的实施方式类似,并且还可以包括第二RF生成器250,第二RF生成器250配置为通过另一个可选的阻抗匹配网络251将RF功率耦合到晶圆保持器220。对于第一RF生成器230或第二RF生成器250之一或者两者,用于将RF功率施加到晶圆保持器220的典型的频率可以在约0.1MHz至约200MHz的范围内。用于第二RF生成器250的RF频率可以相对大于用于第一RF生成器230的RF频率。此外,可以对来自第一RF生成器230的用于晶圆保持器220的RF功率进行放 大调制,可以对来自第二RF生成器250的用于晶圆保持器220的RF功率进行放大调制,或者对两者RF功率进行放大调制。期望地,对较高RF频率下的RF功率进行放大调制。而且,可以将控制器295耦接到第二RF生成器250以及阻抗匹配网络251,以便控制到晶圆保持器220的RF功率的施加。用于晶圆保持器的RF系统的设计以及实施对于本领域技术人员是熟知的。在图2F所示的实施方式中,IEC蚀刻子系统200F可以与图2A和图2E的实施方式类似,并且还可以包括表面波等离子体(SWP)源。SWP源可以包括槽缝天线287(例如径向线槽缝天线(RLSA)),通过可选的阻抗匹配网络286经由微波生成器285将微波功率耦合到槽缝天线287上。在图2G所示的实施方式中,IEC蚀刻子系统200G可以与图2C的实施方式类似,并且还可以包括分割式上电极(277a、277b),将RF功率通过阻抗匹配网络/功率分配器276从RF生成器275耦合到分割式上电极(277a、277b)上。施加RF功率到分割式电极(277a、277b)的频率可以在约0.1MHz至约200MHz的范围内。另外,施加功率到下电极232的频率可以在约0.1MHz至约100MHz的范围内。而且,可以将控制器295耦接到RF生成器275以及阻抗匹配网络/功率分配器276以便控制RF功率施加到分割式上电极(277a、277b)。功率分配器以及分割式上电极可以设计并且配置为向处理区249的中心和边缘提供不同RF功率水平,以有利于在与晶圆205的表面相邻的处理区249中的IEO等离子体的生成和控制。可以将分割式上电极(270a、270b)以及气体压力室242如所示彼此耦接,或者可以使用其他构造。图3A至图3G示出根据本发明的实施方式的用于离子能量控制(IEC)蚀刻子系统的附加的实施方式。图3A至图3G示出与如图2A至图2G所示的示例性蚀刻子系统200A至200G类似的示例性IEC蚀刻子系统300A至300G,但是IEC蚀刻子系统300A至300G包括至少一个DC电极392以及至少一个DC源390。在图案化蚀刻期间,通常利用干法等离子体蚀刻工艺,并且通过将电磁(EM)能(例如射频(RF)功率)耦合到处理气体以便加热电子并且引起随后的处理气体的原子和/或分子组分的电离和分解,来从处理气体形成等离子体。另外,可以将负的、高电压直流(DC)电功率耦合到等离子体处理系统以便形成在RF循环的一部分(即,耦合的RF功率的正 半循环)期间撞击晶圆表面的高能(弹道)电子束。已经观察到弹道电子束可以通过以下作用增强干法等离子体蚀刻工艺的特性:例如,提升下面的薄膜(待蚀刻的)与掩模层之间的蚀刻选择性,降低电荷损伤(例如电子遮掩损伤等)。关于弹道电子束的生成的附加细节公开在题为“Plasmaprocessingapparatusandmethod”并且公布为美国专利申请第2006/0037701A1号的待审美国专利申请序列第11/156,559号;通过引用将其内容的全部并入到本文中。通常,弹道电子束可以在如图3A至图3G所示的各种类型的等离子体处理系统之内实施。第一示例性IEC蚀刻子系统300A在图3A中示出,并且所示的IEC蚀刻子系统300A包括IEC处理室310;晶圆保持器320,其上附有待处理的晶圆305;气体供应系统340;以及真空泵系统357。例如,可以将晶圆保持器320耦接到IEC处理室310并且使用基座325将其与IEC处理室310绝缘。晶圆305可以为,例如,半导体晶圆、工件或液晶显示器(LCD)。例如,IEC处理室310可以配置为有利于在与晶圆305的表面相邻的处理区349中生成IEO等离子体,并且IEO等离子体经由热电子与能够电离的气体之间的碰撞来形成。可以从气体供应系统340将能电离的气体或气体的混合物引入,并且使用真空泵系统357对处理压力进行调节。期望地,IEO等离子体可以用于形成针对预定材料处理的材料,以及用于对沉积材料至晶圆305或从晶圆305的露出的表面移除材料进行辅助。例如,控制器395可以用于控制真空泵系统357和气体供应系统340。例如,可以经由机器人传送系统穿过槽阀(为示出)和室馈通(未示出)将晶圆305传送进出IEC处理室310,在机器人传送系统中,晶圆305被容纳在晶圆保持器320内的晶圆顶杆(未示出)接收,并且通过容纳在其中的装置机械地平移。在从传送系统接收晶圆305之后,将其下降至晶圆保持器320的上表面。例如,可以经由静电夹钳系统(未示出)将晶圆305固定于晶圆保持器320。晶圆保持器320可以包括可以耦接到温度控制系统328的温度控制元件329。例如,温度控制元件329可以包括电阻加热元件、或热电加热器/冷却器。可以借助双(中心/边缘)背面气体传输系统(326和327)将背面气体传输至晶圆的背面以提升晶圆305与晶圆保持器320之间的气体间距热导率。当在提高或减低温度的情况下需要附加的晶圆的温度控制时,可以利用双(中心/边缘)背面气体传输系统(326和327)。例如,晶圆的温度控制可以在超过稳定状态温度的温度下是有用的,该稳定状态 温度由于从等离子体传递至晶圆305的热流与通过向晶圆保持器320的传导而从晶圆305移除的热流的平衡而实现。如图3A所示,晶圆保持器320包括下电极332,通过其可以将射频(RF)功率耦合到处理区349中的等离子体。例如,可以通过经由阻抗匹配网络331将RE功率从RF生成器330传送至下电极332来以RF电压对下电极332进行电偏置。RF偏置可以用于加热电子以形成并维持IEO等离子体。用于RF偏置的典型的频率可以在从1MHz至100MHz的范围内并且优选地为13.56MHz。可替代地,可以以多个频率将RF功率施加到下电极332。此外,阻抗匹配网络331用于通过使反射功率最小化,使IEC处理室310中RF功率向IEO等离子体的传递最大化。可以利用各种匹配网络拓扑和自动控制方法。继续参照图3A,可以使用接口元件341将气体供应系统340耦接到气体压力室342,并且可以将气体压力室342耦接到气体分配元件(345a和345b)。气体分配元件(345a和345b)可以将不同流量(347a和347b)的处理气体提供到处理区349中的一个或更多个区域。例如,处理气体可以包括用于氧化蚀刻应用的气体的混合物(例如氩、四氟化碳(CF4)和氧气(O2)、或者氩(Ar)、C4F8和O2),或者其他化学物(例如O2/CO/Ar/C4F8、O2/CO/Ar/C5F8、O2/CO/Ar/C4F6、O2/Ar/C4F6、N2/H2、溴化氢(HBr))。气体分配元件(345a和345b)可以配置为使引入至晶圆305的污染物降低或最小化,并且可以包括多孔气体注入喷嘴。例如,处理气体可以从气体供应系统340供应。气体分配元件(345a和345b)可以向处理区349的不同区域提供不同的流量(347a和347b)。另外,气体分配元件(345a和345b)可以向处理区349的不同区域提供不同的处理气体。真空泵系统357可以包括能够使泵速高达5000升每秒(以及更大)的涡轮分子真空泵(TMP)358以及用于控制室压力的闸门阀359。在用于干法等离子体蚀刻处理的常规等离子体处理装置中,通常使用1000升每秒至3000升每秒的TMP。TMP对于低压处理(通常小于50毫托)是有用的。对于高压处理(例如,大于100毫托),可以使用机械增压泵和干式粗抽泵。此外,可以将用于监测室压力的装置(未示出)耦接到IEC处理室310。压力测量装置可以为,例如,MKSInstruments,Inc.(安杜氟,MA)市售的628B型Baratron绝对电容压力计。如图3A所示,IEC蚀刻子系统300A可以包括一个或更多个耦接到IEC处理室310以获得性能数据的处理传感器336,以及可以耦接到处理传感器336以接收处理数据的控制器395。处理传感器336可以包括对内置于IEC处理室310的传感器以及外置于IEC处理室310的传感器两者。内置传感器可以包括那些关于IEC处理室310的功能性的传感器,例如对以下的测量:氦背面气体压力、氦背面流量、静电夹钳(ESC)电压、ESC电流、晶圆保持器温度(或下电极(LEL)温度)、冷却剂温度、上电极(UEL)温度、正向RF功率、反射RF功率、RF自致DC偏置、RF峰至峰电压、室壁温度、处理气体流量、处理气体分压、室压力、匹配网络设置、聚焦环厚度、RF小时、聚焦环RF小时以及其任意统计量。另外,外置传感器可以包括用于监测如图3A所示的从处理区349中的等离子体发射的光的一个或更多个光学装置。光学装置可以包括可以用作终点检测(EPD)并且可以提供EPD数据的光学传感器。例如,可以使用光学发射光谱方法(OES)传感器。处理传感器336可以包括电流和/或电压探针、功率计、或光谱分析器。例如,处理传感器336可以包括RF阻抗分析器。在一些实施方式中,IEC蚀刻子系统300A可以包括耦接到IEC处理室310以获得IE相关性能数据的一个或更多个离子能量(IE)传感器334、以及耦接到IE传感器334以接收IE相关性能数据的控制器395。另外,IEC蚀刻子系统300A可以包括耦接到晶圆保持器320以获得IE相关性能数据的一个或更多个离子能量(IE)传感器323,以及耦接到IE传感器323以处理IE相关性能数据的IE控制单元322。例如,IE信号的测量(例如电压或电流的时间跟踪)允许使用离散傅里叶级数表示将IE信号转换到频域中(假设为周期性信号)。此后,可以对傅里叶谱(或对于时间变化的信号,频率谱)进行监测和分析以表征IEO等离子体的状态。控制器395包括微处理器、存储器以及数字I/O端口(潜在地包括D/A和/或模数A/D转换器),其能够生成控制电压,足以用于连通并且激活蚀刻子系统(300A至300G)的输入以及监测蚀刻子系统(300A至300G)的输出。如图3A所示,可以使用一个或更多个接口396,将控制器395与以下构件进行耦接并交换信息:IEC处理室310、IE控制单元322、背面气体传输系统(326和327)、温度控制系统328、第一RF生成器330、阻抗匹配网络331、IE传感器334、处理传感器336、气体供应系统340、气体压力室342以及真空泵系统357。可以利用存储在存储器中的程序来根据所存储的IE相关处理配方与IEC蚀刻子系统300A的上述构件相互 作用。在图3B中所示的示例性实施方式中,IEC蚀刻子系统300B可以与图3A的实施方式类似,并且除参照图3A所描述的那些构件之外,还包括固定的或者机械地或电动旋转的磁场系统355,以便潜在地增加等离子体密度和/或提升等离子体处理均一性。而且,可以将控制器395耦接到磁场系统355以便调节旋转速度和场强。旋转磁场的设计以及实施对于本领域技术人员是已知的。在图3C中所示的实施方式中,IEC蚀刻子系统300C可以与图3A或图3B的实施方式类似,并且还可以包括上电极374,通过可选的阻抗匹配网络372可以从RF生成器370将RF功率耦合到上电极374上。用于施加RF功率到上电极的频率可以在约0.1MHz至约200MHZ的范围内。另外,用于施加功率到下电极332的频率可以在约0.1MHz至约100MHz的范围内。而且,可以将控制器395耦接到RF生成器370以及阻抗匹配网络372以便控制将RF功率施加到上电极374。上电极的设计以及实施对于本领域技术人员是熟知的。可以将上电极374和气体压力室342如所示彼此耦接。在图3D所示的实施方式中,IEC蚀刻子系统300D可以与图3A和图3B的实施方式类似,并且还可以包括电感线圈383,通过可选的阻抗匹配网络382经由RF生成器380将RF功率耦合到电感线圈383上。可以从穿过介电窗口(未示出)的电感线圈383将RF功率电感耦合到处理区349。施加RF功率到电感线圈383的频率可以在约10MHz至约100MHz的范围内。类似地,施加功率到下电极332的频率可以在约0.1MHz至约100MHz的范围内。另外,可以使用槽缝的法拉第屏蔽(未示出)来降低电感线圈383与IEO等离子体之间的电容耦合。而且,可以将控制器395耦接到RF生成器380以及阻抗匹配网络382以便控制到电感线圈383的功率的施加。在可替代的实施方式中(未示出),可以用于电感线圈的为“螺旋”线圈或“扁平”线圈构造。电感耦合的等离子体(ICP)源或变压器耦合等离子体(TCP)的设计以及实施对于本领域技术人员是熟知的。在图3E所示的实施方式中,IEC蚀刻子系统300E可以,例如,与图3A、图3B、图3C以及图3D的实施方式类似,并且还可以包括第二RF生成器350,第二RF生成器350配置为通过另一个可选的阻抗匹配网络351将RF功率耦合到晶圆保持器320。对于第一RF生成器330或 第二RF生成器350之一或者两者,用于将RF功率施加到晶圆保持器320的典型的频率可以在约0.1MHz至约200MHZ的范围内。用于第二RF生成器350的RF频率可以相对大于用于第一RF生成器330的RF频率。此外,可以对来自第一RF生成器330的用于晶圆保持器320的RF功率进行放大调制,可以对来自第二RF生成器350的用于晶圆保持器320的RF功率进行放大调制,或者对两者RF功率进行放大调制。期望地,对较高RF频率下的RF功率进行放大调制。而且,可以将控制器395耦接到第二RF生成器350以及阻抗匹配网络351,以便控制到晶圆保持器320的RF功率的施加。用于晶圆保持器的RF系统的设计以及实施对于本领域技术人员是熟知的。在图3F所示的实施方式中,IEC蚀刻子系统300F可以与图3A和图3E的实施方式类似,并且还可以包括表面波等离子体(SWP)源。SWP源可以包括槽缝天线387(例如径向线槽缝天线(RLSA)),通过可选的阻抗匹配网络386经由微波生成器385将微波功率耦合到槽缝天线387上。在图3G所示的实施方式中,IEC蚀刻子系统300G可以与图3C的实施方式类似,并且可以还包括分割式上电极(377a、377b),将RF功率通过阻抗匹配网络/功率分配器376从RF生成器375耦合到分割式上电极(377a、377b)上。施加RF功率到分割式上电极(377a、377b)的频率可以在约0.1MHz至约200MHz的范围内。另外,施加功率到下电极332的频率可以在约0.1MHz至约100MHz的范围内。而且,可以将控制器395耦接到RF生成器375以及阻抗匹配网络/功率分配器376以便控制RF功率施加到分割式上电极(377a、377b)的。功率分路器以及分割式上电极可以设计并且配置为向处理区349的中心和边缘提供不同RF功率水平,以有利于在与晶圆305的表面相邻的处理区349中的IEO等离子体的生成和控制。可以将分割式上电极(370a、370b)以及气体压力室342如所示彼此耦接,或者可以使用其他构造。在IEC蚀刻子系统(300A至300G)示出的DC电极392可以包括含硅材料和/或掺杂的含硅材料。DC源390可以包括可变的DC电源。另外,DC源390可以包括双极性DC电源。DC源390还可以包括配置为执行对DC源390的极性、电流、电压和/或开/断状态进行监控、调整或控制中至少之一的系统。一旦形成等离子体,DC源390有利于弹道电子束的形成。可以利用电滤波器来将RF功率从DC源390解耦。例如,由DC源390施加到DC电极392的DC电压可以在约-2000伏特(V)至约1000V的范围之内。期望地,DC电压的绝对值为等于或大于约100V的值,并且更期望地,DC电压的绝对值为等于或大于约500V的值。另外,期望的是DC电压具有负极性。此外,期望的是DC电压为绝对值大于自偏电压的负电压。在可替代的实施方式中,化学氧化去除(COR)子系统(未示出)可以用于去除或修整氧化的多晶硅材料。另外,COR子系统可以用于去除或修整氧化掩模层。例如,COR子系统可以包括用于对晶圆上露出的表面层(例如氧化表面层)进行化学处理的化学处理模型(未示出),由此露出的表面上的过程化学的吸收影响表面层的化学变化。另外,COR子系统可以包括用于对晶圆进行热处理的热处理模型(未示出),由此提高晶圆温度以便使得晶圆上的经化学变化的露出的表面层脱附(desorb)(或蒸发)。图4示出根据本发明的实施方式的示例性离子能量控制多输入/多输出(IEC-MIMO)模型优化及控制工艺条件的简化框图。在所示的MIMO模型方法论中,示出了第一图案化栅堆叠401以及后处理的栅堆叠405的一部分的示例性图像。第一图案化栅堆叠401的软掩模层可以包括一个或更多个软掩模特征CD402以及一个或更多个软掩模特征侧壁角度(SWA)403。可以使用可以包括中心/边缘(C/E)层数据、EEDf(电子能量函数)C/E数据、IEC/E数据、CD数据C/E、IED数据以及SWA数据C/E的第一组IE相关参数对第一图案化栅堆叠401进行表征。可替代地,可以使用不同组IE相关参数。后处理栅堆叠405可以包括一个或更多个CD406以及一个或更多个SWA407。可以使用可以包括中心/边缘(C/E)金属栅和/或特征数据、C/E目标层数据、CD数据C/E、SWA数据C/E、IE更新数据C/E以及EEDf更新数据的第二组参数408对后处理栅堆叠405进行表征。可替代地,可以使用不同组的IE相关后处理参数。在所示的工艺条件中,可以将前处理集成计量(IM)和/或检查处理/工具(前IM/检查)模型410耦接到一个或更多个IEC蚀刻工序模型415。可以将IEC蚀刻工序模型415中的一个或更多个耦接到一个或更多个IEO蚀刻制程模型420。可以将IEO蚀刻制程模型420中的一个或更多个耦接到一个或更多个IE数据更新模型425。可以将IE数据更新模型425中的一个或更多个耦接到一个或更多个后处理集成计量(IM)和/或检查 处理/工具(后IM/检查)模型430。(前IM/检查)模型410可以接收输入数据409,可以向IEC蚀刻工序模型415提供第一输出数据411,并且可以向IE相关前馈模型435提供第一前馈数据412。IEC蚀刻工序模型415可以接收数据411,可以向IEO蚀刻制程模型420提供第二输出数据416,并且可以向IE相关前馈模型435提供第二前馈数据417。IEO蚀刻制程模型420可以接收第二输出数据416,可以接收第三前馈数据436,可以接收反馈数据438,并且可以向IE数据更新模型425发送IE制程数据421。IE数据更新模型425可以接收IE制程数据421,可以向(后IM/检查)模型430提供更新数据426,并且可以向IE相关反馈模型437提供第一反馈数据427。(后IM/检查)模型430可以接收更新数据426,可以提供第三输出数据431,并且可以向IE相关反馈模型437提供第二反馈数据432。IE相关前馈模型435可以接收第一前馈数据412,可以接收第二前馈数据417,并且可以提供第三前馈数据436,并且IE相关反馈模型437可以接收第一反馈数据427,可以接收第二反馈数据432,并且可以提供第三反馈数据438。在一些实例中,输入数据409可以包括CD数据、SWA数据、厚度数据、IE数据、EEDf数据、IED数据或栅数据或者其任意组合,并且第一输出数据411和第一前馈数据412可以包括CD数据、SWA数据、ODP数据、检查数据、厚度数据、IE数据、EEDf数据、IED数据或栅数据、或者其任意组合。第二输出数据416和第二前馈数据417可以包括配方数据、CD数据、SWA数据、ODP数据、检查数据、厚度数据、IE数据、EEDf数据或栅数据、或者其任意组合,并且IE制程数据421可以包括结果数据、CD数据、SWA数据、ODP数据、检查数据、厚度数据、IE数据、EEDf数据或栅数据、或者其任意组合。更新数据426和第一反馈数据427可以包括配方数据、IE数据、EEDf数据、ODP数据、检查数据、厚度数据、IE数据、EEDf数据或栅数据、或者其任意组合,并且第三输出数据431和第二反馈数据432可以包括结果数据、CD数据、SWA数据、ODP数据、检查数据、厚度数据、IE数据、EEDf数据或栅数据、或者其任意组合。第三前馈数据436可以包括晶圆到晶圆前馈数据(W2WFF)以及晶圆内部前馈数据(WiWFF),并且第三反馈数据438可以包括晶圆到晶圆反馈数据(W2WFB)以及晶圆内部反馈数据(WiWFB)。另外,模型(410、415、420、425以及430)中的一个或更多个可以用于在晶圆到晶圆(W2W)基础上控制IE相关后处理栅堆叠405和/或在晶圆内(WiW)基础上控制IE相关后处理栅堆叠405。可以将数据项413发送至第一计算元件440,第一计算元件440可以用于计算在晶圆的中心处以及在晶圆的边缘处的IE数据、EEDf数据、蚀刻数据、CD数据、SWA数据和/或其他栅堆叠数据中的一些。例如,第一计算元件440可以用于计算在晶圆的中心处以及在晶圆的边缘处的IE相关偏置。可以将第一组目标参数441提供到第一计算元件440,并且可以将第一组滤波输出471提供到第一计算元件440。可以将来自第一计算元件440的输出数据项442提供到一个或更多个MIMO模型优化器450。MIMO模型优化器450中的一个或更多个可以设置有可以包括IE相关工具限制、配方限制和/或时间限制的一个或更多个IE相关约束参数451。例如,IE相关约束参数451可以包括在IE蚀刻制程期间的基于步骤的晶圆温度限制或处理气体限制。MIMO模型优化器450中的一个或更多个可以确定可以发送至工具控制器/模型(410、415、420、425以及430)中的一个或更多个的一组或更多组配方/腔参数456。工具控制器/模型(410、415、420、425以及430)中的一个或更多个可以用于计算预测的IE值457,该值可以包括一个或更多个预测蚀刻偏置、一个或更多个预测SWA偏置、用于一个或更多个蚀刻配方的一个或更多个预测步骤时间、以及用于一个或更多个蚀刻配方的一个或更多个预测处理气体流量。(后IM/检查)模型430中的一个或更多个可以向一个或更多个比较元件460提供一个或更多个实际输出433,并且实际输出433中的一个或更多个可以与预测数据项457中的一个或更多个进行比较。可以将来自比较元件460中的一个或更多个的误差值465中的一个或更多个提供到EWMA滤波器470中的一个或更多个。EWMA滤波器470中的一个或更多个可以向第一计算元件440提供一个或更多个第一滤波输出471,并且EWMA滤波器470中的一个或更多个可以向加权控制器/模型480中的一个或更多个提供一个或更多个第二滤波输出472。每个EWMA滤波器470可以滤波并且提供用于单个参数或误差值的反馈数据。可替代地,每个EWMA滤波器470可以滤波并且提供用于多个参数或误差值的反馈数据。加权控制器/模型480中的一个或更多个可以从MIMO模型优化器450中的一个或更多个接收一个或更多个目标数据项445以及一个或更多个反馈数据项455。另外,加权控制器/模型480中的一个或更多个可以向MIMO模型优化器450中的一个 或更多个提供一个或更多个动态变化的加权输入481。使用基于反馈误差的动态加权的概念为驱使优化器在以更好控制最重要的CV下优先考虑加权(再平衡)—在运行中控制系统的手动调节的自动化。在一些实施方式中,用于控制的操纵变量和/或干扰变量可以包括计算的值,可以在运行处理期间通过以下方法对该值进行动态建模以及更新:1)建模制程可以开始于将IE传感器数据与控制变量(CV)进行“配对”的基本模型关系。例如原子O或F的量可以通过使用来自IE传感器或处理传感器的处理气体数据来计算,并且消耗的原子O或F的量可以用于预测CD或SWA。这可以为反馈更新回路,或在蚀刻步骤期间的实时调整。2)在执行湿法清洗之后,在调试或生产期间所处理的第一图案化栅堆叠将用于对该跟踪气体模型进行计算和更新。3)相对增益矩阵(RGA)方法可以在运行时与生产图案化晶圆一起使用以评估何时使用对CV反馈的IE传感器数据代替仅计算值。将对用于给定CV值的RGA矩阵进行重新评估以确定基于MV的传感器的值是否强于用于用作实时CV值的光刻引入CV。4)另外,使用OES信号的中心到边缘传感器检测,改变的速率也可以用作通常理解的实例以通过调整过蚀刻步骤中心到边缘旋钮(例如O2流量、温度、上功率、压力)来调整过蚀刻配方设置以提升均一性(校正之前步骤蚀刻的非均一性)。IMCV应该为用于分别引入的引入晶圆的膜厚—例如根据当前室中心到边缘的蚀刻速率的BARC厚度。在一些实施方式中,与由第一、第二和/或第三IEC蚀刻工序形成的各种图案化晶圆相关联的控制变量可以为中心CD及SWA值、中间CD及SWA值、边缘CD及SWA值、以及极边缘CD及SWA值,并且这可能需要对晶圆上的四个或更多个位点进行的共八个IM测量。可以使用动态采样执行前IM测量和后IM测量。在其他实施方式中,操纵变量可以包括用于晶圆保持器中的一个或更多个区域的背面气体流量,并且可以在处理期间动态地控制该背面气体流量,以通过基于引入的CV要求对本质上为非径向的晶圆区域进行调整来提供动态背面气体温度控制用于提升的晶圆内处理均一性。在又一实施方式中,操纵变量可以包括用于边缘气体注入流率的流率。该方法也可以用于降低晶圆边缘的缺乏问题,并且基于引入的信号和室状态使边缘缺乏成为可控变量。在一些IE相关MIMO模型中,可以在离线触发计算更新制程期间, 对批次之间的相互作用项进行更新。例如,可以通过检查当前系统对交叉项变化的敏感度、以及通过运行一组预定义的增量(delta)来察看调整交叉项是否将提升平均控制,来触发交叉项计算更新。RGA可以用在该计算中,并且触发事件可以用于执行用于IE相关MIMO模型的自适应反馈更新。例如,当将IE相关MIMO模型从室复制到室时使用自适应反馈并且使得IE相关MIMO模型能够适应新室的行为。另一用途在当释放新产品时出现,并且旧产品方程可以用于启动模型,然后在许多晶圆之后模型更新被触发并且对新模型进行调整,然后可以使用得到的模型并且用于性能的监控。图5A和图5A’示出根据本发明的实施方式的用于形成第一双图案化特征的第一IE相关处理工序的示例图。在示出的实施方式中,示出了其上具有示例性特征堆叠(505a至507a)的三个示例性图案化晶圆(501a至503a),但这不是本发明所必需的。可替代地,可以使用不同数量的具有不同构造的图案化晶圆。在一些实施方式中,可以使用第一组IEC蚀刻制程来形成三个示例性图案化晶圆(501a、502a以及503a)以及相关联的多层特征堆叠(505a、506a以及507a),第一组IEC蚀刻制程可以使用本文中在图2A至图2G以及图3A至图3G所描述的蚀刻子系统中的一个或更多个来执行。可替代地,可以使用其他蚀刻子系统和/或蚀刻制程。在图5A中,示出了第一输入数据模型580a,并且当执行第一输入数据模型580a时,可以得到第一组输入数据。第一输入数据可以包括实时和/或历史IE-相关数据。在图5A中,示出了第一IE选择MIMO模型581a,并且可以使用第一IE选择MIMO模型581a选择第一IEC蚀刻工序,并且第一IE选择MIMO模型581a可以使用传送装置590交换测量变量(MV)数据,可以使用传送装置591交换干扰变量(DV)数据,并且可以使用传送装置592交换控制变量(CV)数据。例如,第一IE选择MIMO模型581a可以创建和/或使用与第一IEC蚀刻工序相关联的第一IE相关数据,并且可以使用传送装置(590、591和/或592)对第一IE相关数据进行前馈和/或反馈。当执行第一IE选择MIMO模型581a时,可以使用控制器(图2A至图2G所示的295)和/或控制器(图3A至图3G所示的395)选择第一IEC蚀刻工序。在一些实例中,控制器(295和/或395)可以使用用于第 一图案化晶圆501a和/或第二图案化晶圆502a的第一IE相关库数据。当在第一图案化晶圆501a上正在形成第一特征堆叠505a时,用于第一图案化晶圆501a的第一IE相关库数据可以包括使用一个或更多个IE传感器(图2A至图2G所示的223和/或234)和/或IE传感器(图3A至图3G所示的323和/或334)收集的历史IEC蚀刻工序数据。当第二特征堆叠506a在预先形成的第二图案化晶圆502a上预先形成时,用于第二图案化晶圆502a的第一IE相关库数据可以包括使用一个或更多个IE传感器(图2A至图2G所示的223和/或234)和/或IE传感器(图3A至图3G所示的323和/或334)收集的第二历史IEC蚀刻工序数据。在图5A’中,包括第一特征堆叠505a的第一图案化晶圆501a示出为包括衬底层510a、目标层520a、第三硬掩模层530a、第二硬掩模层540a、特征宽度控制(FWC)层550a、第一硬掩模层560a以及图案化软掩模层570a。例如,衬底层510a可以包括半导体材料;目标层520a可以包括介电或金属材料;第三硬掩模层530a可以包括TiN;第二硬掩模层540a可以包括正硅酸乙酯(TEOS)[Si(OC2H5)4];FWC层550a可以包括宽度控制材料;第一硬掩模层560a可以包括Si-ARC材料,并且软掩模层570a可以包括辐射敏感材料,例如光刻胶。软掩模层570a可以包括多个软掩模特征575a,并且软掩模特征575a可以具有特征宽度576a、特征厚度577a以及侧壁角度(SWA)578a。例如,特征宽度576a可以从约10nm至约200nm变化,特征厚度577a可以从约20nm至约4000nm变化,并且SWA578a可以从约87度至约95度变化。在图5A中,示出了第一IEC-MIMO模型582a,并且当执行第一IEC-MIMO模型582a时,可以使用IEO蚀刻制程中的一个或更多个来执行选择的第一IEC蚀刻工序。当执行IEO蚀刻制程时,可以使用第一IEC蚀刻工序来确定一组或更多组处理参数。例如,第一IEC-MIMO模型582a与其他MIMO模型(581a、583a、584a以及585a)之间可以使用传送装置590创建并交换第一IEC蚀刻MV数据,可以使用传送装置591创建并交换第一IEC蚀刻DV数据,并且可以使用传送装置592创建并交换第一IEC蚀刻CV数据。另外,第一IEC-MIMO模型582a可以包括与第一IEC蚀刻工序、第一图案化晶圆501a和/或第二图案化晶圆502a相关联的第一MV处理数据、第一DV处理数据以及第一CV处理数据。当选择的第一IEC蚀刻工序包括一个或更多个IEO蚀刻制程时,可以使用本文中图2A至图2G和图3A至图3G中所述的蚀刻子系统中的一个或更多个来执行该IEO蚀刻制程。在一些实例中,可以使用第一IEC蚀刻工序对其上具有多个第一特征堆叠505a的第一图案化晶圆501a进行蚀刻,以形成其上具有多个第二特征堆叠506a的第二图案化晶圆502a。可替代地,可以形成其他图案化晶圆。在一些实施方式中,当执行第一IEO蚀刻制程时,第一图案化晶圆501a可以位于晶圆保持器(图2A至图2G中所示的220)和/或晶圆保持器(图3A至图3G中所示的320)上,并且可以在IEC处理室(图2A至图2G中所示的210)和/或IEC处理室(图3A至图3G中所示的310)中形成第一IEO等离子体,并且可以执行第一IEO蚀刻制程。在第一IEO蚀刻制程期间,可以使用一个或更多个IE传感器(图2A至图2G中所示的223和/或234)和/或IE传感器(图3A至图3G中所示的323和/或334)来收集第一IEO蚀刻传感器数据,并且控制器(图2A至图2G中所示的295)和/或控制器(图3A至图3G中所示的395)可以将第一IEO蚀刻传感器数据与历史IE传感器数据进行比较,可以存储第一IEO蚀刻传感器数据。例如,可以在第一IEO蚀刻制程期间使用处理传感器(图2A至图2G中所示的236)和/或处理传感器(图3A至图3G中所示的336)来收集第一处理数据。另外,用于第一IEO蚀刻制程的配方可以依赖于用于软掩模特征551的轮廓数据和SWA数据和/或用于蚀刻的GWC特征541的轮廓数据。当选择的第一IEC蚀刻工序包括附加的第一IE相关制程时,可以使用图1所示的子系统(110、120、130、140、150、160以及170)中的一个或更多个来执行附加的第一IE相关制程。在一些实施方式中,第一IEC蚀刻工序可以包括用于第一硬掩模层的第一IEO蚀刻制程、用于FWC层的第二IEO蚀刻制程以及用于第二硬掩模层的第三IEO蚀刻制程。例如,第一IEO蚀刻制程可以包括Si-ARC层蚀刻制程,第二IEO蚀刻制程可以包括宽度层蚀刻制程,并且第三IEO蚀刻制程可以包括TEOS层蚀刻制程。在一些实例中,第一IEC蚀刻工序也可以包括灰化制程、清洗制程和/或CMP制程。在其他实施例中,第一IEC蚀刻制程可以包括IE相关计量制程、IE传感器晶圆测量制程和/或IE相关检查制程。在图5A’中,包括第二特征堆叠506a的第二图案化晶圆502a示出为 包括衬底层510a、目标层520a、第三硬掩模层530a以及蚀刻的第二硬掩模层540aa。例如,衬底层510a可以包括半导体材料;目标层520a可以包括介电或金属材料;第三硬掩模层530a可以包括TiN;蚀刻的第二硬掩模层540aa可以包括蚀刻的TEOS材料。蚀刻的第二硬掩模层540aa可以包括多个蚀刻的第二硬掩模特征545a,并且蚀刻的第二硬掩模特征545a可以具有特征宽度546a、特征厚度547a以及SWA548a。例如,特征宽度546a可以从约10nm至约200nm变化,特征厚度547a可以从约20nm至约4000nm变化,并且SWA548a可以从约87度至约95度变化。在第一IEO蚀刻制程期间,第一图案化晶圆501a上的软掩模特征575a的图案可以用于在第二图案化晶圆502a上形成蚀刻的第二硬掩模特征545a的图案。在图5A中,示出了第二IE选择MIMO模型583a,并且可以使用第二IE选择MIMO模型583a来选择第二IEC蚀刻工序,以及第二IE选择MIMO模型583a可以使用传送装置590创建并交换第二选择MV数据,可以使用传送装置591创建并交换第二选择DV数据,并且可以使用传送装置592创建并交换第二选择CV数据。例如,第二IE选择MIMO模型583a可以创建和/或使用与第一和/或第二IEC蚀刻工序相关联的第二IE相关数据,并且可以使用传送装置(590、591和/或592)对第二IE相关数据进行前馈和/或反馈。当执行第二IE选择MIMO模型583a时,可以使用控制器(图2A至图2G中所示的295)和/或控制器(图3A至图3G中所示的395)来选择第二IEC蚀刻工序。在一些实例中,控制器(295和/或395)可以使用用于第一图案化晶圆501a、第二图案化晶圆502a和/或第三图案化晶圆503a的第二IE相关库数据。当在第一图案化晶圆501a上形成第一特征堆叠505a时,用于第一图案化晶圆501a的第二IE相关库数据可以包括使用一个或更多个IE传感器(图2A至图2G中所示的223和/或234)和/或IE传感器(图3A至图3G中所示的323和/或334)收集的历史IE相关蚀刻工序数据。当在预先形成的第二图案化晶圆502a上预先形成第二特征堆叠506a时,用于第二图案化晶圆502a的第二IE相关库数据可以包括使用一个或更多个IE传感器(图2A至图2G中所示的223和/或234)和/或IE传感器(图3A至图3G中所示的323和/或334)收集的第二历史IE相关蚀刻工序数据。当在预先形成的第三图案化晶圆503a上预先形 成第三特征堆叠507a时,用于第三图案化晶圆503a的第二IE相关库数据可以包括使用一个或更多个IE传感器(图2A至图2G中所示的223和/或234)和/或IE传感器(图3A至图3G中所示的323和/或334)收集的第三历史IE相关蚀刻工序数据。在图5A中,示出了第二IEC-MIMO模型584a,并且当执行第二IEC-MIMO模型584a时,可以使用一个或更多个第二IEO蚀刻制程执行选择的第二IEC蚀刻工序。当执行第二IEO蚀刻工序时,可以使用第二IEC蚀刻工序确定中一组或更多组第二处理参数。例如,第二IEC-MIMO模型584a与其他MIMO模型(581a、582a、583a以及585a)之间可以使用传送装置590创建并交换第二IEC蚀刻MV数据,可以使用传送装置591创建并交换第二IEC蚀刻DV数据,并且可以使用传送装置592创建并交换第二IEC蚀刻CV数据。另外,第二IEC-MIMO模型584a可以创建和/或使用与第一和/或第二IEC蚀刻工序相关联的IE传感器数据和/或第二处理数据,并且可以使用传送装置(590、591和/或592)对第二处理数据和/或IE传感器数据进行前馈和/或反馈。当选择的第二IEC蚀刻工序包括一个或更多个第二IEO蚀刻制程时,第二IEO蚀刻制程可以使用本文中图2A至图2G和图3A至图3G中所述的蚀刻子系统中的一个或更多个来执行。在一些实例中,可以使用第二IEC蚀刻工序对其上具有多个第二特征堆叠506a的第二图案化晶圆502a进行蚀刻,以形成其上具有多个第三特征堆叠507a的第三图案化晶圆503a。可替代地,可以形成其他图案化晶圆。在图5A’中,包括第三特征堆叠507a的第三图案化晶圆503a示出为包括衬底层510a、目标层520a以及具有多个蚀刻的第三硬掩模特征535a的蚀刻的第三硬掩模层530aa。例如,衬底层510a可以包括半导体材料;目标层520a可以包括HfO2;蚀刻的第三硬掩模层530aa可以包括TiN材料。在第二IEC蚀刻工序期间,第二图案化晶圆502a上的蚀刻的第二硬掩模特征545a的图案可以用于在第三图案化晶圆503a上形成蚀刻的第三硬掩模特征535a的图案。蚀刻的第三硬掩模层530aa可以包括多个蚀刻的第三硬掩模特征535a,并且蚀刻的第三硬掩模特征535a可以具有特征宽度536a,特征厚度537a以及SWA538a。例如,蚀刻的第二硬掩模特征宽度536a可以从约10nm至约200nm变化,特征厚度537a可以从约20nm至约400nm变化,并且SWA538a可以从约87度至约95度变化。在一些实施方式中,当执行第二IEO蚀刻制程时,第二图案化晶圆502a可以位于晶圆保持器(图2A至图2G中所示的220)和/或晶圆保持器(图3A至图3G中所示的320)上,并且可以在IEC处理室(图2A至图2G中所示的210)和/或IEC处理室(图3A至图3G中所示的310)中形成第二IEO等离子体,并且可以执行第二IEO蚀刻制程。在第二IEO蚀刻制程期间,可以使用一个或更多个IE传感器(图2A至图2G中所示的223和/或234)和/或IE传感器(图3A至图3G中所示的323和/或334)来收集第二IE蚀刻传感器数据,并且控制器(图2A至图2G中所示的295)和/或控制器(图3A至图3G中所示的395)可以将第二IE蚀刻传感器数据与历史IE传感器数据进行比较,可以存储第二IE蚀刻传感器数据。例如,可以在第二IE相关蚀刻制程期间使用处理传感器(图2A至图2G中所示的236)和/或处理传感器(图3A至图3G中所示的336)来收集第二处理数据。另外,用于第二IEO蚀刻制程的配方可以依赖于用于软掩模特征575a的处理参数和/或轮廓数据。当选择的第二IEC蚀刻工序包括附加的第二IE相关制程时,可以使用图1中所示的子系统(110、120、130、140、150、160以及170)中的一个或更多个来执行附加的第二IE相关制程。在一些实施方式中,第二IEC蚀刻工序可以包括第三硬掩模层蚀刻制程。例如,第二IEC蚀刻工序可以包括TiN层蚀刻制程。在一些实施例中,第二IEC蚀刻工序也可以包括灰化制程、清洗制程和/或CMP制程。在其他实例中,第二IEC蚀刻工序可以包括IE相关计量制程和/或IE相关检查制程。在图5A中,示出了第一输出数据模型585a,并且当执行第一输出数据模型585a时,可以对第一组输出数据进行分析。第一输出数据可以包括实时和/或历史IE相关数据。例如,第一输出数据模型585a与其他MIMO模型(580a、581a、582a、583a以及584a)之间可以使用传送装置590创建并交换第三IEC蚀刻MV数据,可以使用传送装置591创建并交换第三IEC蚀刻DV数据,并且可以使用传送装置592创建并交换第三IEC蚀刻CV数据。另外,第一输出数据模型585a可以分析与第一和/或第二IEC蚀刻工序相关联的IE传感器数据和/或处理数据,并且可以使用传送装置(590、591和/或592)对所分析的处理数据和/或所分析的IE传感器数据进行前馈和/或反馈。当执行第一输出数据模型585a时,可以执行更新制程用于第一和/ 或第二IEC蚀刻工序。例如,可以执行更新制程来更新第一和/或第二处理参数、IE数据以及处理数据。另外,可以执行更新制程来更新第一和/或第二IE相关库数据。第一输出数据模型585a与其他MIMO模型(580a、581a、582a、583a以及584a)之间可以使用传送装置590交换更新IE蚀刻MV数据,可以使用传送装置591交换更新IE蚀刻DV数据,并且可以使用传送装置592交换更新IE蚀刻CV数据。在工艺开发期间,DOE技术可以用于检查基本组模型(580a至585a)并且用于开发简化组MIMO模型。在一些实施方式中,第二IE蚀刻工序可以包括一个或更多个IEO蚀刻制程,IEO蚀刻制程可以包括“穿透(breakthrough)(BT)”蚀刻制程、主蚀刻(ME)蚀刻制程、过蚀刻(OE)蚀刻制程以及氮化钛(TiN)蚀刻制程。可替代地,可以使用其他蚀刻、灰化或清洁制程。图5B和图5B’示出根据本发明的实施方式的用于形成第一双图案化特征的第二IE相关处理工序的示例图。在示出的实施方式中,三个示例性图案化晶圆(501b至503b)被示出为其上具有示例性特征堆叠(505b至507b),但这不是本发明所必需的。可替代地,可以使用不同数量的具有不同构造的图案化晶圆。在一些实施方式中,可以使用第一组IEC蚀刻制程来形成三个示例性图案化晶圆(501b、502b以及503b)以及相关联的多层特征堆叠(505b、506b以及507b),第一组IEC蚀刻制程可以使用本文中在图2A至图2G以及图3A至图3G所描述的蚀刻子系统中的一个或更多个来执行。可替代地,可以使用其他蚀刻子系统和/或蚀刻制程。在图5B中,示出了第一输入数据模型580b,并且当执行第一输入数据模型580b时,可以得到第一组输入数据。第一输入数据可以包括实时和/或历史IE相关数据。在图5B中,示出了第一IE选择MIMO模型581b,并且可以使用第一IE选择MIMO模型581b选择第一IEC蚀刻工序,并且第一IE选择MIMO模型581b可以使用传送装置590交换测量变量(MV)数据,可以使用传送装置591交换干扰变量(DV)数据,并且可以使用传送装置592交换控制变量(CV)数据。例如,第一IE选择MIMO模型581b可以创建和/或使用与第一IEC蚀刻工序相关联的第一IE相关数据,并且可以使用传送装置(590、591和/或592)对第一IE相关数据进行前馈和/或反馈。当执行第一IE选择MIMO模型581b时,可以使用控制器(图2A至图2G所示的295)和/或控制器(图3A至图3G所示的395)选择第一IEC蚀刻工序。在一些实例中,控制器(295和/或395)可以使用第一IE相关库数据用于第一图案化晶圆501b和/或第二图案化晶圆502b。当在第一图案化晶圆501b上形成第一特征堆叠505b时,用于第一图案化晶圆501b的第一IE相关库数据可以包括使用一个或更多个IE传感器(图2A至图2G所示的223和/或234)和/或IE传感器(图3A至图3G所示的323和/或334)收集的历史IEC蚀刻工序数据。当在先前形成的第二图案化晶圆502b上预先形成第二特征堆叠506b时,用于第二图案化晶圆502b的第一IE相关库数据可以包括使用一个或更多个IE传感器(图2A至图2G所示的223和/或234)和/或IE传感器(图3A至图3G所示的323和/或334)收集的第二历史IEC蚀刻工序数据。在图5B’中,包括第一特征堆叠505b的第一图案化晶圆501b示出为包括衬底层510b、目标层520b、第三硬掩模层530b、第二硬掩模层540b、特征宽度控制(FWC)层550b、第一硬掩模层560b以及图案化软掩模层570b。例如,衬底层510b可以包括半导体材料;目标层520b可以包括介电或金属材料;第三硬掩模层530b可以包括TiN;第二硬掩模层540b可以包括正硅酸乙酯(TEOS)[Si(OC2H5)4];FWC层550b可以包括宽度控制材料;第一硬掩模层560b可以包括Si-ARC材料,并且软掩模层570b可以包括辐射敏感材料,例如光刻胶。软掩模层570b可以包括多个软掩模特征575b,并且软掩模特征575b可以具有特征宽度576b,特征厚度577b以及侧壁角度(SWA)578b。例如,特征宽度576b可以从约10nm至约200nm变化,特征厚度577b可以从约20nm至约4000nm变化,并且SWA578b可以从约87度至约95度变化。在图5B中,示出了第一IEC-MIMO模型582b,并且当执行第一IEC-MIMO模型582b时,可以使用IEO蚀刻制程中的一个或更多个来执行选择的第一IEC蚀刻工序。当执行IEO蚀刻制程时,可以使用第一IEC蚀刻工序来确定一组或更多组处理参数。例如,第一IEC-MIMO模型582b与其他MIMO模型(580b、581b、583b、584b以及585b)之间可以使用传送装置590创建并交换第一IEC蚀刻MV数据,可以使用传送装置591创建并交换第一IEC蚀刻DV数据,并且可以使用传送装置592创建并交换第一IEC蚀刻CV数据。另外,第一IEC-MIMO模型582b 可以包括与第一IEC蚀刻工序、第一图案化晶圆501b和/或第二图案化晶圆502b相关联的第一MV处理数据、第一DV处理数据以及第一CV处理数据。当选择的第一IEC蚀刻工序包括一个或更多个IEO蚀刻制程时,可以使用本文中图2A至图2G和图3A至图3G中所述的蚀刻子系统中的一个或更多个来执行该IEO蚀刻制程。在一些实例中,可以使用第一IEC蚀刻工序对其上具有多个第一特征堆叠505b的第一图案化晶圆501b进行蚀刻,以形成其上具有多个第二特征堆叠506b的第二图案化晶圆502b。可替代地,可以形成其他图案化晶圆。在一些实施方式中,当执行第一IEO蚀刻制程时,第一图案化晶圆501b可以位于晶圆保持器(图2A至图2G中所示的220)和/或晶圆保持器(图3A至图3G中所示的320)上,并且可以在IEC处理室(图2A至图2G中所示的210)和/或IEC处理室(图3A至图3G中所示的310)中形成第一IEO等离子体,并且可以执行第一IEO蚀刻制程。在第一IEO蚀刻制程期间,可以使用一个或更多个IE传感器(图2A至图2G中所示的223和/或234)和/或IE传感器(图3A至图3G中所示的323和/或334)来收集第一IEO蚀刻传感器数据,并且控制器(图2A至图2G中所示的295)和/或控制器(图3A至图3G中所示的395)可以将第一IEO蚀刻传感器数据与历史IE传感器数据进行比较,可以存储第一IEO蚀刻传感器数据。例如,可以在第一IEO蚀刻制程期间使用处理传感器(图2A至图2G中所示的236)和/或处理传感器(图3A至图3G中所示的336)来收集第一处理数据。另外,用于第一IEO蚀刻制程的配方可以依赖于用于软掩模特征551的轮廓数据以及SWA数据和/或用于蚀刻的GWC特征541的轮廓数据。当选择的第一IEC蚀刻工序包括附加的第一IE相关制程时,可以使用图1中所示的子系统(110、120、130、140、150、160以及170)中的一个或更多个来执行附加的第一IE相关制程。在一些实施方式中,第一IEC蚀刻工序可以包括用于第一硬掩模层的第一IEO蚀刻制程、用于FWC层的第二IEO蚀刻制程以及用于第二硬掩模层的第三IEO蚀刻制程。例如,第一IEO蚀刻制程可以包括Si-ARC层蚀刻制程,第二IEO蚀刻制程可以包括宽度层蚀刻制程,并且第三IEO蚀刻制程可以包括TEOS层蚀刻制程。在一些实施例中,第一IEC蚀刻工序也可以包括灰化制程、清洗制程和/或CMP制程。在其他实施例中, 第一IEC蚀刻工序可以包括IE相关计量制程、IE传感器晶圆测量制程和/或IE相关检查制程。在图5B’中,包括第二特征堆叠506b的第二图案化晶圆502b示出为包括衬底层510b、目标层520b、第三硬掩模层530b以及蚀刻的第二硬掩模层540ba。例如,衬底层510b可以包括半导体材料;目标层520b可以包括介电或金属材料;第三硬掩模层530b可以包括TiN;蚀刻的第二硬掩模层540ba可以包括蚀刻的TEOS材料。蚀刻的第二硬掩模层540ba可以包括多个蚀刻的第二硬掩模特征545b,并且蚀刻的第二硬掩模特征545b可以具有特征宽度546b,特征厚度547b以及SWA548b。例如,特征宽度546b可以从约10nm至约200nm变化,特征厚度547b可以从约20nm至约4000nm变化,并且SWA548b可以从约87度至约95度变化。在第一IEO蚀刻制程期间,第一图案化晶圆501b上的软掩模特征575b的图案可以用于在第二图案化晶圆502b上形成蚀刻的第二硬掩模特征545b的图案。在图5B中,示出了第二IE选择MIMO模型583b,可以使用第二IE选择MIMO模型583b来选择第二IEC蚀刻工序,并且第二IE选择MIMO模型583b可以使用传送装置590创建并交换第二选择MV数据,可以使用传送装置591创建并交换第二选择DV数据,并且可以使用传送装置592创建并交换第二选择CV数据。例如,第二IE选择MIMO模型583b可以创建和/或使用与第一和/或第二IEC蚀刻工序相关联的第二IE相关数据,并且可以使用传送装置(590、591和/或592)对第二IE相关数据进行前馈和/或反馈。当执行第二IE选择MIMO模型583b时,可以使用控制器(图2A至图2G中所示的295)和/或控制器(图3A至图3G中所示的395)来选择第二IEC蚀刻工序。在一些实例中,控制器(295和/或395)可以使用第二IE相关库数据,用于第一图案化晶圆501b、第二图案化晶圆502b和/或第三图案化晶圆503a。当在第一图案化晶圆501b上形成第一特征堆叠505b时,用于第一图案化晶圆501b的第二IE相关库数据可以包括使用一个或更多个IE传感器(图2A至图2G中所示的223和/或234)和/或IE传感器(图3A至图3G中所示的323和/或334)收集的历史IE相关蚀刻工序数据。当在预先形成的第二图案化晶圆502b上预先形成第二特征堆叠506b时,用于第二图案化晶圆502b的第二IE相关库数据可以 包括使用一个或更多个IE传感器(图2A至图2G中所示的223和/或234)和/或IE传感器(图3A至图3G中所示的323和/或334)收集的第二历史IE相关蚀刻工序数据。当在预先形成的第三图案化晶圆503a上预先形成第三特征堆叠507b时,用于第三图案化晶圆503a的第二IE相关库数据可以包括使用一个或更多个IE传感器(图2A至图2G中所示的223和/或234)和/或IE传感器(图3A至图3G中所示的323和/或334)收集的第三历史IE相关蚀刻工序数据。在图5B中,示出了第二IEC-MIMO模型584b,并且当执行第二IEC-MIMO模型584b时,可以使用一个或更多个第二IEO蚀刻制程执行选择的第二IEC蚀刻工序。当执行第二IEO蚀刻工序时,可以使用第二IEC蚀刻工序确定一组或更多组第二处理参数。例如,第二IEC-MIMO模型584b与其他MIMO模型(581b、582b、583b以及585b)之间可以使用传送装置590创建并交换第二IEC蚀刻MV数据,可以使用传送装置591创建并交换第二IEC蚀刻DV数据,并且可以使用传送装置592创建并交换第二IEC蚀刻CV数据。另外,第二IEC-MIMO模型584b可以创建和/或使用与第一和/或第二IEC蚀刻工序相关联的IE传感器数据和/或第二处理数据,并且可以使用传送装置(590、591和/或592)对第二处理数据和/或IE传感器数据进行前馈和/或反馈。当选择的第二IEC蚀刻工序包括一个或更多个第二IEO蚀刻制程时,可以使用本文中图2A至图2G和图3A至图3G中所述的蚀刻子系统中的一个或更多个来执行该第二IEO蚀刻制程。在一些实例中,可以使用第二IEC蚀刻工序对其上具有多个第二特征堆叠506b的第二图案化晶圆502b进行蚀刻,以形成其上具有多个第三特征堆叠507b的第三图案化晶圆503a。可替代地,可以形成其他图案化晶圆。在图5B’中,包括第三特征堆叠507b的第三图案化晶圆503a示出为包括衬底层510b、目标层520b以及具有多个蚀刻的第三硬掩模特征535b的蚀刻的第三硬掩模层530ba。例如,衬底层510b可以包括半导体材料;目标层520b可以包括HfO2;蚀刻的第三硬掩模层530ba可以包括蚀刻的TiN材料。在第二IEC蚀刻工序期间,第二图案化晶圆502b上的蚀刻的第二硬掩模特征545b的图案可以用于在第三图案化晶圆503a上形成蚀刻的第三硬掩模特征535b的图案。蚀刻的第三硬掩模层530ba可以包括多个蚀刻的第三硬掩模特征535b,并且蚀刻的第三硬掩模特征535b可以具有特征宽度536b,特征厚 度537b以及SWA538b。例如,蚀刻的第二硬掩模特征宽度536b可以从约10nm至约200nm变化,特征厚度537b可以从约20nm至约400nm变化,并且SWA538b可以从约87度至约95度变化。蚀刻的第三硬掩模层530ba可以包括多个先前蚀刻的第三硬掩模特征535a,并且先前蚀刻的第三硬掩模特征535a可以具有特征宽度536a,特征厚度537a以及特征SWA538a。例如,先前蚀刻的第三硬掩模特征宽度536a可以从约10nm至约200nm变化,特征厚度537a可以从约20nm至约400nm变化,并且特征SWA538a可以从约87度至约95度变化。此外,可以在先前蚀刻的第三硬掩模特征535a与蚀刻的第三硬掩模特征535b之间建立第一间隔距离539b,并且第一间隔距离539b可以从约10nm至200nm变化。在一些实施方式中,当执行第二IEO蚀刻制程时,第二图案化晶圆502b可以位于晶圆保持器(图2A至图2G中所示的220)和/或晶圆保持器(图3A至图3G中所示的320)上,并且可以在IEC处理室(图2A至图2G中所示的210)和/或IEC处理室(图3A至图3G中所示的310)中形成第二IEO等离子体,并且可以执行第二IEO蚀刻制程。在第二IEO蚀刻制程期间,可以使用一个或更多个IE传感器(图2A至图2G中所示的223和/或234)和/或IE传感器(图3A至图3G中所示的323和/或334)来收集第二IE蚀刻传感器数据,并且控制器(图2A至图2G中所示的295)和/或控制器(图3A至图3G中所示的395)可以将第二IE蚀刻传感器数据与历史IE传感器数据进行比较,可以存储第二IE蚀刻传感器数据。例如,可以在第二IE相关蚀刻制程期间,使用处理传感器(图2A至图2G中所示的236)和/或处理传感器(图3A至图3G中所示的336)来收集第二处理数据。另外,用于第二IEO蚀刻制程的配方可以依赖于用于软掩模特征575b的处理参数和/或轮廓数据。当选择的第二IEC蚀刻工序包括附加的第二IE相关制程时,可以使用图1中所示的子系统(110、120、130、140、150、160以及170)中的一个或更多个来执行附加的第二IE相关制程。在一些实施方式中,第二IEC蚀刻工序可以包括第三硬掩模层蚀刻制程。例如,第二IEC蚀刻工序可以包括TiN层蚀刻制程。在一些实施例中,第二IEC蚀刻工序也可以包括灰化制程、清洗制程和/或CMP制程。在其他实施例中,第二IEC蚀刻工序可以包括IE相关计量制程和/或IE相关检查制程。在图5B中,示出了第一输出数据模型585b,并且当执行第一输出数据模型585b时,可以对第一组输出数据进行分析。第一输出数据可以包括实时和/或历史IE相关数据。例如,第一输出数据模型585b与其他MIMO模型(580b、581b、582b、583b以及584b)之间可以使用传送装置590创建并交换第三IEC蚀刻MV数据,可以使用传送装置591创建并交换第三IEC蚀刻DV数据,并且可以使用传送装置592创建并交换第三IEC蚀刻CV数据。另外,第一输出数据模型585b可以分析与第一和/或第二IEC蚀刻工序相关联的IE传感器数据和/或处理数据,并且可以使用传送装置(590、591和/或592)对所分析的处理数据和/或所分析的IE传感器数据进行前馈和/或反馈。当执行第一输出数据模型585b时,可以执行更新制程用于第一和/或第二IEC蚀刻工序。例如,可以执行更新制程来更新第一和/或第二处理参数、IE数据以及处理数据。另外,可以执行更新制程来更新第一和/或第二IE相关库数据。第一输出数据模型585b与其他MIMO模型(580b、581b、582b、583b以及584b)之间可以使用传送装置590交换更新的IE蚀刻MV数据,可以使用传送装置591交换更新的IE蚀刻DV数据,并且可以使用传送装置592交换更新的IE蚀刻CV数据。在工艺开发期间,DOE技术可以用于检查基本组模型(580b至585b)并且开发简化组MIMO模型。在一些实施方式中,第二IE蚀刻工序可以包括一个或更多个IEO蚀刻制程,IEO蚀刻制程可以包括“穿透(BT)”蚀刻制程、主蚀刻(ME)蚀刻制程、过蚀刻(OE)蚀刻制程以及氮化钛(TiN)蚀刻制程。可替代地,可以使用其他蚀刻、灰化或清洗制程。图6A和图6A’示出根据本发明的实施方式的、用于形成第一双图案化栅结构的第一IE相关处理工序的示例图。在示出的实施方式中,三个示例性图案化晶圆(501b至503b)被示出为其上具有示例性栅堆叠(605a至607a),但这不是本发明所必需的。可替代地,可以使用不同数量的具有不同构造的图案化晶圆。在一些实施方式中,可以使用包括IEO蚀刻制程的第一组IEC蚀刻工序来形成三个示例性图案化晶圆(601a、602a以及603a)以及相关联的多层栅堆叠(605a、606a以及607a),IEO蚀刻制程可以使用本文中在图2B至图2G以及图3B至图3G所描述的蚀刻子系统中的一个或更多个来执行。可替代地,可以使用其他蚀刻子系统和/或蚀刻制程。在图6A中,示出了第一输入数据模型680a,并且当执行第一输入数据模型680a时,可以得到第一组输入数据。第一输入数据可以包括实时和/或历史IE相关数据。在图6A中,示出了第一IE选择MIMO模型681a,并且可以使用第一IE选择MIMO模型681a选择第一IEC蚀刻工序,并且第一IE选择MIMO模型681a可以使用传送装置690交换测量变量(MV)数据,可以使用传送装置691交换干扰变量(DV)数据,并且可以使用传送装置692交换控制变量(CV)数据。例如,第一IE选择MIMO模型681a可以创建和/或使用与第一IEC蚀刻工序相关联的第一IE相关数据,并且可以使用传送装置(690、691和/或692)对第一IE相关数据进行前馈和/或反馈。当执行第一IE选择MIMO模型681a时,可以使用控制器(图2A至图2G所示的296)和/或控制器(图3A至图3G所示的395)选择第一IEC蚀刻工序。在一些实例中,控制器(295和/或395)可以使用第一IE相关库数据,用于第一图案化晶圆601a和/或第二图案化晶圆602a。当在第一图案化晶圆601a上形成第一栅堆叠605a时,用于第一图案化晶圆601a的第一IE相关库数据可以包括使用一个或更多个IE传感器(图2A至图2G所示的223和/或234)和/或IE传感器(图3A至图3G所示的323和/或334)收集的历史IEC蚀刻工序数据。当在预先形成的第二图案化晶圆602a上预先形成第二栅堆叠606a时,用于第二图案化晶圆602a的第一IE相关库数据可以包括使用一个或更多个IE传感器(图2A至图2G所示的223和/或234)和/或IE传感器(图3A至图3G所示的323和/或334)收集的第二历史IEC蚀刻工序数据。在图6A’中,包括第一栅堆叠605a的第一图案化晶圆601a示出为包括衬底层610a、目标层620a、第三硬掩模层630a、第二硬掩模层640a、栅宽度控制(GWC)层650a、第一硬掩模层660a以及图案化软掩模层670a。例如,衬底层610a可以包括半导体材料;目标层620a可以包括介电或金属材料;第三硬掩模层630a可以包括TiN;第二硬掩模层640a可以包括正硅酸乙酯(TEOS)[Si(OC2H5)4];GWC层650a可以包括宽度控制材料;第一硬掩模层660a可以包括Si-ARC材料,并且软掩模层670a可以包括辐射敏感材料例如光刻胶。软掩模层670a可以包括多个软掩模特征675a,并且软掩模特征675a可以具有特征宽度676a、特征厚度677a以及侧壁角度(SWA)678a。例 如,特征宽度676a可以从约10nm至约200nm变化,特征厚度677a可以从约20nm至约4000nm变化,并且SWA678a可以从约87度至约95度变化。在图6A中,示出了第一IEC-MIMO模型682a,并且当执行第一IEC-MIMO模型682a时,可以使用IEO蚀刻制程中的一个或更多个来执行选择的第一IEC蚀刻工序。当执行IEO蚀刻制程时,可以使用第一IEC蚀刻工序来确定一组或更多组处理参数。例如,第一IEC-MIMO模型682a与其他MIMO模型(681a、683a、684a以及685a)之间可以使用传送装置690创建并交换第一IEC蚀刻MV数据,可以使用传送装置691创建并交换第一IEC蚀刻DV数据,并且可以使用传送装置692创建并交换第一IEC蚀刻CV数据。另外,第一IEC-MIMO模型682a可以包括与第一IEC蚀刻工序、第一图案化晶圆601a和/或第二图案化晶圆602a相关联的第一MV处理数据、第一DV处理数据以及第一CV处理数据。当选择的第一IEC蚀刻工序包括一个或更多个IEO蚀刻制程时,可以使用本文中图2A至图2G和图3A至图3G中所述的蚀刻子系统中的一个或更多个来执行IEO蚀刻制程。在一些实例中,可以使用第一IEC蚀刻工序对其上具有多个第一栅堆叠605a的第一图案化晶圆601a进行蚀刻,以形成其上具有多个第二栅堆叠606a的第二图案化晶圆602a。可替代地,可以形成其他图案化晶圆。在一些实施方式中,当执行第一IEO蚀刻制程时,第一图案化晶圆601a可以位于晶圆保持器(图2A至图2G中所示的220)和/或晶圆保持器(图3A至图3G中所示的320)上,并且可以在IEC处理室(图2A至图2G中所示的210)和/或IEC处理室(图3A至图3G中所示的310)中形成第一IEO等离子体,并且可以执行第一IEO蚀刻制程。在第一IEO蚀刻制程期间,可以使用一个或更多个IE传感器(图2A至图2G中所示的223和/或234)和/或IE传感器(图3A至图3G中所示的323和/或334)来收集第一IEO蚀刻传感器数据,并且控制器(图2A至图2G中所示的295)和/或控制器(图3A至图3G中所示的395)可以将第一IEO蚀刻传感器数据与历史IE传感器数据进行比较,可以存储第一IEO蚀刻传感器数据。例如,可以在第一IEO蚀刻制程期间使用处理传感器(图2A至图2G中所示的236)和/或处理传感器(图3A至图3G中所示的336)来收集第一处理数据。另外,用于第一IEO蚀刻制 程的配方可以依赖于用于软掩模特征651的轮廓数据以及SWA数据和/或用于蚀刻的GWC特征641的轮廓数据。当选择的第一IEC蚀刻工序包括附加的第一IE相关制程时,可以使用图1中所示的子系统(110、120、130、140、150、160以及170)中的一个或更多个来执行附加的第一IE相关制程。在一些实施方式中,第一IEC蚀刻工序可以包括用于第一硬掩模层的第一IEO蚀刻制程、用于GWC层的第二IEO蚀刻制程以及用于第二硬掩模层的第三IEO蚀刻制程。例如,第一IEO蚀刻制程可以包括SiARC层蚀刻制程,第二IEO蚀刻制程可以包括宽度层蚀刻制程,并且第三IEO蚀刻制程可以包括TEOS层蚀刻制程。在一些实例中,第一IEC蚀刻工序也可以包括灰化制程、清洗制程和/或CMP制程。在其他实施例中,第一IEC蚀刻工序可以包括IE相关计量制程、IE传感器晶圆测量制程和/或IE相关检查制程。在图6A’中,包括第二栅堆叠606a的第二图案化晶圆602a示出为包括衬底层610a、目标层620a、第三硬掩模层630a以及蚀刻的第二硬掩模层640aa。例如,衬底层610a可以包括半导体材料;目标层620a可以包括介电或金属材料;第三硬掩模层630a可以包括TiN;蚀刻的第二硬掩模层640aa可以包括蚀刻的TEOS材料。蚀刻的第二硬掩模层640aa可以包括多个蚀刻的第二硬掩模特征645a,并且蚀刻的第二硬掩模特征645a可以具有特征宽度646a、特征厚度647a以及SWA648a。例如,特征宽度646a可以从约10nm至约200nm变化,特征厚度647a可以从约20nm至约4000nm变化,并且SWA648a可以从约87度至约95度变化。在第一IEO蚀刻制程期间,第一图案化晶圆601a上的软掩模特征675a的图案可以用于在第二图案化晶圆602a上形成蚀刻的第二硬掩模特征645a的图案。在图6A中,示出了第二IE选择MIMO模型683a,可以使用第二IE选择MIMO模型683a来选择第二IEC蚀刻工序,并且第二IEC-MIMO模型683a可以使用传送装置690创建并交换第二选择MV数据,可以使用传送装置691创建并交换第二选择DV数据,并且可以使用传送装置692创建并交换第二选择CV数据。例如,第二IE选择MIMO模型683a可以创建和/或使用与第一和/或第二IEC蚀刻工序相关联的第二IE相关 数据,并且可以使用传送装置(690、691和/或692)对第二IE相关数据进行前馈和/或反馈。当执行第二IE选择MIMO模型683a时,可以使用控制器(图2A至图2G中所示的295)和/或控制器(图3A至图3G中所示的395)来选择第二IEC蚀刻工序。在一些实例中,控制器(295和/或395)可以使用第二IE相关库数据,用于第一图案化晶圆601a、第二图案化晶圆602a和/或第三图案化晶圆603a。当在第一图案化晶圆601a上形成第一栅堆叠605a时,用于第一图案化晶圆601a的第二IE相关库数据可以包括使用一个或更多个IE传感器(图2A至图2G中所示的223和/或234)和/或IE传感器(图3A至图3G中所示的323和/或334)所集的历史IE相关蚀刻工序数据。当在预先形成的第二图案化晶圆602a上预先形成第二栅堆叠606a时,用于第二图案化晶圆602a的第二IE相关库数据可以包括使用一个或更多个IE传感器(图2A至图2G中所示的223和/或234)和/或IE传感器(图3A至图3G中所示的323和/或334)收集的第二历史IE相关蚀刻工序数据。当在预先形成的第三图案化晶圆603a上预先形成第三栅堆叠607a时,用于第三图案化晶圆603a的第二IE相关库数据可以包括使用一个或更多个IE传感器(图2A至图2G中所示的223和/或234)和/或IE传感器(图3A至图3G中所示的323和/或334)收集的第三历史IE相关蚀刻工序数据。在图6A中,示出了第二IEC-MIMO模型684a,并且当执行第二IEC-MIMO模型684a时,可以使用一个或更多个第二IEO蚀刻工序执行选择的第二IEC蚀刻工序。当执行第二IEO蚀刻工序时,可以使用第二IEC蚀刻工序确定一组或更多组第二处理参数。例如,第二IEC-MIMO模型684a与其他MIMO模型(681a、682a、683a以及685a)之间可以使用传送装置690创建并交换第二IEC蚀刻MV数据,可以使用传送装置691创建并交换第二IEC蚀刻DV数据,并且可以使用传送装置692创建并交换第一IEC蚀刻CV数据。另外,第二IEC-MIMO模型684a可以创建和/或使用与第一和/或第二IEC蚀刻工序相关联的IE传感器数据和/或第二处理数据,并且可以使用传送装置(690、691和/或692)对第二处理数据和/或IE传感器数据进行前馈和/或反馈。当选择的第二IEC蚀刻工序包括一个或更多个第二IEO蚀刻制程时,第二IEO蚀刻制程可以使用本文中图2A至图2G和图3A至图3G中所述的蚀刻子系统中的一个或更多个来执行。在一些实例中,可以使用 第二IEC蚀刻工序对其上具有多个第二栅堆叠606a的第二图案化晶圆602a进行蚀刻,以形成其上具有多个第三栅堆叠607a的第三图案化晶圆603a。可替代地,可以形成其他图案化晶圆。在图6A’中,包括第三栅堆叠607a的第三图案化晶圆603a示出为包括:衬底层610a、蚀刻的目标层620aa以及具有多个蚀刻的第三硬掩模结构635a的蚀刻的第三硬掩模层630aa。例如,衬底层610a可以包括半导体材料;蚀刻的目标层620aa可以包括蚀刻的HfO2材料;蚀刻的第三硬掩模层630aa可以包括蚀刻的TiN材料。在第二IEC蚀刻工序期间,第二图案化晶圆602a上的蚀刻的第二硬掩模特征645a的图案可以用于在第三图案化晶圆603a上形成蚀刻的第三硬掩模结构635a的图案以及蚀刻的目标层结构625a的图案。蚀刻的第三硬掩模层630aa可以包括多个蚀刻的第三硬掩模结构635a,并且蚀刻的第三硬掩模结构635a可以具有结构宽度636a、结构厚度637a以及结构SWA638a。例如,蚀刻的第二硬掩模结构宽度636a可以从约10nm至约200nm变化,并且结构厚度637a可以从约20nm至约400nm变化,并且SWA638a可以从约87度至约95度变化。另外,蚀刻的目标层620aa可以包括多个蚀刻的目标层结构625a,并且蚀刻的目标层结构625a可以具有目标结构宽度626a、目标结构厚度627a以及目标结构SWA628a。例如蚀刻的目标结构宽度可以从约10nm至约200nm变化,并且目标结构厚度627a可以从约20nm至约400nm变化,并且目标结构SWA628a可以从约87度至约95度变化。在一些实施方式中,当执行第二IEO蚀刻制程时,第二图案化晶圆602a可以位于晶圆保持器(图2A至图2G中所示的220)和/或晶圆保持器(图3A至图3G中所示的320)上,并且可以在IEC处理室(图2A至图2G中所示的210)和/或IEC处理室(图3A至图3G中所示的310)中形成第二IEO等离子体,并且可以执行第二IEO蚀刻制程。在第二IEO蚀刻制程期间,可以使用一个或更多个IE传感器(图2A至图2G中所示的223和/或234)和/或IE传感器(图3A至图3G中所示的323和/或334)来收集第二IE蚀刻传感器数据,并且控制器(图2A至图2G中所示的295)和/或控制器(图3A至图3G中所示的395)可以将第二IE蚀刻传感器数据与历史IE传感器数据进行比较,可以存储第二IE蚀刻传感器数据。例如,可以在第二IE相关蚀刻制程期间使用处理传感器(图2A至图2G中所示的236)和/或处理传感器(图3A至图 3G中所示的336)来收集第二处理数据。另外,用于第二IEO蚀刻制程的配方可以依赖于用于软掩模特征675a的处理参数和/或轮廓数据。当选择的第二IEC蚀刻工序包括附加的第二IE相关制程时,可以使用图1所示的子系统(110、120、130、140、150、160以及170)中的一个或更多个来执行附加的第二IE相关制程。在一些实施方式中,第二IEC蚀刻工序可以包括第三硬掩模层蚀刻制程。例如,第二IEC蚀刻工序可以包括TiN层蚀刻制程。在一些实例中,第二IEC蚀刻工序也可以包括灰化制程、清洗制程和/或CMP制程。在其他实施例中,第二IEC蚀刻工序可以包括IE相关计量制程和/或IE相关检查制程。在图6A中,示出了第一输出数据模型685a,并且当执行第一输出数据模型685a时,可以对第一组输出数据进行分析。第一输出数据可以包括实时和/或历史IE相关数据。例如,第一输出数据模型685a与其他MIMO模型(680a、681a、682a、683a以及684a)之间可以使用传送装置690创建并交换第三IEC蚀刻MV数据,可以使用传送装置691创建并交换第三IEC蚀刻DV数据,并且可以使用传送装置692创建并交换第三IEC蚀刻CV数据。另外,第一输出数据模型685a可以分析与第一和/或第二IEC蚀刻工序相关联的处理数据和/或IE传感器数据,并且可以使用传送装置(690、691和/或692)对所分析的处理数据和/或所分析的IE传感器数据进行前馈和/或反馈。当执行第一输出数据模型685a时,可以执行更新制程用于第一和/或第二IEC蚀刻工序。例如,可以执行更新制程来更新第一和/或第二处理参数、IE数据以及处理数据。另外,可以执行更新制程来更新第一和/或第二IE相关库数据。第一输出数据模型685a与其他MIMO模型(680a、681a、682a、683a以及684a)之间可以使用传送装置690交换更新IE蚀刻MV数据,可以使用传送装置691交换更新IE蚀刻DV数据,并且可以使用传送装置692交换更新IE蚀刻CV数据。在工艺开发期间,DOE技术可以用于检查基本组模型(680a至685a)并且开发简化组MIMO模型。在一些实施方式中,第二IE蚀刻工序可以包括一个或更多个IEO蚀刻制程,IEO蚀刻制程可以包括“穿透(BT)蚀刻制程、主蚀刻(ME)蚀刻制程、过蚀刻(OE)蚀刻制程以及氮化钛(TiN)蚀刻制程。可替代地,可以使用其他蚀刻、灰化或清洁制程。图6B和图6B’示出根据本发明的实施方式的、用于形成第一双图案化结构的第二IE相关处理工序的示例图。在示出的实施方式中,三个示例性图案化晶圆(501b至503b)被示出为其上具有示例性栅堆叠(605b至607b),但这不是本发明所必需的。可替代地,可以使用不同数量的具有不同构造的图案化晶圆。在一些实施方式中,可以使用第一组IEC蚀刻制程来形成三个示例性图案化晶圆(601b、602b以及603b)以及相关联的多层栅堆叠(605b、606b以及607b),第一组IEC蚀刻制程可以使用本文中在图2A至图2G以及图3A至图3G所描述的蚀刻子系统中的一个或更多个来执行。可替代地,可以使用其他蚀刻子系统和/或蚀刻制程。在图6B中,示出了第一输入数据模型680b,并且当执行第一输入数据模型680b时,可以得到第一组输入数据。第一输入数据可以包括实时和/或历史IE相关数据。在图6B中,示出了第一IE选择MIMO模型681b,并且可以使用第一IE选择MIMO模型681b选择第一IEC蚀刻工序,并且第一IE选择MIMO模型681b可以使用传送装置690交换测量变量(MV)数据,可以使用传送装置691交换干扰变量(DV)数据,并且可以使用传送装置692交换控制变量(CV)数据。例如,第一IE选择MIMO模型681b可以创建和/或使用与第一IEC蚀刻工序相关联的第一IE相关数据,并且可以使用传送装置(690、691和/或692)对第一IE相关数据进行前馈和/或反馈。当实行第一IE选择MIMO模型681b时,可以使用控制器(图2A至图2G所示的295)和/或控制器(图3A至图3G所示的395)选择第一IEC蚀刻工序。在一些实例中,控制器(295和/或395)可以使用第一IE相关库数据,用于第一图案化晶圆601b和/或第二图案化晶圆602b。当在第一图案化晶圆601b上形成第一栅堆叠605b时,用于第一图案化晶圆601b的第一IE相关库数据可以包括使用一个或更多个IE传感器(图2A至图2G所示的223和/或234)和/或IE传感器(图3A至图3G所示的323和/或334)收集的历史IEC蚀刻工序数据。当在预先形成的第二图案化晶圆602b上预先形成第二栅堆叠606b时,用于第二图案化晶圆602b的第一IE相关库数据可以包括使用一个或更多个IE传感器(图2A至图2G所示的223和/或234)和/或IE传感器(图3A至图3G所示的323和/或334)收集的第二历史IEC蚀刻工序数据。在图6B’中,包括第一栅堆叠605b的第一图案化晶圆601b示出为包 括衬底层610b、目标层620b、第三硬掩模层630b、第二硬掩模层640b、栅宽度控制(GWC)层650b、第一硬掩模层660b以及图案化软掩模层670b。例如,衬底层610b可以包括半导体材料;目标层620b可以包括介电或金属材料;第三硬掩模层630b可以包括TiN;第二硬掩模层640b可以包括TEOS材料;GWC层650b可以包括宽度控制材料;第一硬掩模层660b可以包括Si-ARC材料,并且软掩模层670b可以包括辐射敏感材料,例如光刻胶。软掩模层670b可以包括多个软掩模特征675b,并且软掩模特征675b可以具有特征宽度676b、特征厚度677b以及侧壁角度(SWA)678b。例如,特征宽度676b可以从约10nm至约200nm变化,特征厚度677b可以从约20nm至约4000nm变化,并且SWA678b可以从约87度至约95度变化。在图6B中,示出了第一IEC-MIMO模型682b,并且当执行第一IEC-MIMO模型682b时,可以使用IEO蚀刻制程中的一个或更多个来执行选择的第一IEC蚀刻工序。当执行IEO蚀刻制程时,可以使用第一IEC蚀刻工序来确定一组或更多组处理参数。例如,第一IEC-MIMO模型682b与其他MIMO模型(680b、681b、683b、684b以及685b)之间可以使用传送装置690创建并交换第一IEC蚀刻MV数据,可以使用传送装置691创建并交换第一IEC蚀刻DV数据,并且可以使用传送装置692创建并交换第一IEC蚀刻CV数据。另外,第一IEC-MIMO模型682b可以包括与第一IEC蚀刻工序、第一图案化晶圆601b和/或第二图案化晶圆602b相关联的第一MV处理数据、第一DV处理数据以及第一CV处理数据。当选择的第一IEC蚀刻工序包括一个或更多个IEO蚀刻制程时,IEO蚀刻制程可以使用本文中图2A至图2G和图3A至图3G中所述的蚀刻子系统中的一个或更多个来执行。在一些实施例中,可以使用第一IEC蚀刻工序对其上具有多个第一栅堆叠605b的第一图案化晶圆601b进行蚀刻,以形成其上具有多个第二栅堆叠606b的第二图案化晶圆602b。可替代地,可以形成其他图案化晶圆。在一些实施方式中,当执行第一IEO蚀刻制程时,第一图案化晶圆601b可以位于晶圆保持器(图2A至图2G中所示的220)和/或晶圆保持器(图3A至图3G中所示的320)上,并且可以在IEC处理室(图2A至图2G中所示的210)和/或IEC处理室(图3A至图3G中所示的310) 中形成第一IEO等离子体,并且可以执行第一IEO蚀刻制程。在第一IEO蚀刻制程期间,可以使用一个或更多个IE传感器(图2A至图2G中所示的223和/或234)和/或IE传感器(图3A至图3G中所示的323和/或334)来收集第一IEO蚀刻传感器数据,并且控制器(图2A至图2G中所示的295)和/或控制器(图3A至图3G中所示的395)可以将第一IEO蚀刻传感器数据与历史IE传感器数据进行比较,可以存储第一IEO蚀刻传感器数据。例如,可以在第一IEO蚀刻制程期间使用处理传感器(图2A至图2G中所示的236)和/或处理传感器(图3A至图3G中所示的336)来收集第一处理数据。另外,用于第一IEO蚀刻制程的配方可以依赖于用于软掩模特征651的轮廓数据以及SWA数据和/或用于蚀刻的GWC特征641的轮廓数据。当选择的第一IEC蚀刻工序包括附加的第一IE相关制程时,可以使用图1中所示的子系统(110、120、130、140、150、160以及170)中的一个或更多个来执行附加的第一IE相关制程。在一些实施方式中,第一IEC蚀刻工序可以包括用于第一硬掩模层的第一IEO蚀刻制程、用于FWC层的第二IEO蚀刻制程以及用于第二硬掩模层的第三IEO蚀刻制程。例如,第一IEO蚀刻制程可以包括Si-ARC层蚀刻制程,第二IEO蚀刻制程可以包括宽度层蚀刻制程,并且第三IEO蚀刻制程可以包括TEOS层蚀刻制程。在一些实施例中,第一IEC蚀刻工序也可以包括灰化制程、清洗制程和/或CMP制程。在其他实施例中,第一IEC蚀刻制程可以包括IE相关计量制程、IE传感器晶圆测量制程和/或IE相关检查制程。在图6B’中,包括第二栅堆叠606b的第二图案化晶圆602b示出为包括衬底层610b、目标层620b、第三硬掩模层630b以及蚀刻的第二硬掩模层640ba。例如,衬底层610b可以包括半导体材料;目标层620b可以包括介电或金属材料;第三硬掩模层630b可以包括TiN;蚀刻的第二硬掩模层640ba可以包括蚀刻的TEOS材料。蚀刻的第二硬掩模层640ba可以包括多个蚀刻的第二硬掩模特征645b,并且蚀刻的第二硬掩模特征645b可以具有特征宽度646b、特征厚度547b以及SWA648b。例如,特征宽度646b可以从约10nm至约200nm变化,特征厚度647b可以从约20nm至约4000nm变化,并且SWA648b可以从约87度至约95度变化。在第一IEO蚀刻制程期间,第一图案化晶圆601b上的软掩模特征675b的图案可以用于在第二图案化晶圆602b上形成蚀刻的第二硬掩模特征645b的图案。在图6B中,示出了第二IE选择MIMO模型683b,可以使用第二IE选择MIMO模型683b来选择第二IEC蚀刻工序,并且第二IE选择MIMO模型683b可以使用传送装置690创建并交换第二选择MV数据,可以使用传送装置691创建并交换第二选择DV数据,并且可以使用传送装置692创建并交换第二选择CV数据。例如,第二IE选择MIMO模型683b可以创建和/或使用与第一和/或第二IEC蚀刻工序相关联的第二IE相关数据,并且可以使用传送装置(690、691和/或692)对第二IE相关数据进行前馈和/或反馈。当执行第二IE选择MIMO模型683b时,可以使用控制器(图2A至图2G中所示的295)和/或控制器(图3A至图3G中所示的395)来选择第二IEC蚀刻工序。在一些实施例中,控制器(295和/或395)可以使用第二IE相关库数据,用于第一图案化晶圆601b、第二图案化晶圆602b和/或第三图案化晶圆603a。当在第一图案化晶圆601b上形成第一栅堆叠605b时,用于第一图案化晶圆601b的第二IE相关库数据可以包括使用一个或更多个IE传感器(图2A至图2G中所示的223和/或234)和/或IE传感器(图3A至图3G中所示的323和/或334)收集的历史IE相关蚀刻工序数据。当在预先形成的第二图案化晶圆602b上预先形成第二栅堆叠606b时,用于第二图案化晶圆602b的第二IE相关库数据可以包括使用一个或更多个IE传感器(图2A至图2G中所示的223和/或234)和/或IE传感器(图3A至图3G中所示的323和/或334)收集的第二历史IE相关蚀刻工序数据。当在预先形成的第三图案化晶圆603上预先形成第三栅堆叠607b时,用于第三图案化晶圆603a的第二IE相关库数据可以包括使用一个或更多个IE传感器(图2A至图2G中所示的223和/或234)和/或IE传感器(图3A至图3G中所示的323和/或334)收集的第三历史IE相关蚀刻工序数据。在图6B中,示出了第二IEC-MIMO模型684b,并且当执行第二IEC-MIMO模型684b时,可以使用一个或更多个第二IEO蚀刻制程执行选择的第二IEC蚀刻工序。当执行第二IEO蚀刻工序时,可以使用第二IEC蚀刻工序确定一组或更多组第二处理参数。例如,第二IEC-MIMO模型684b与其他MIMO模型(681b、682b、683b以及685b)之间可以 使用传送装置690创建并交换第二IEC蚀刻MV数据,可以使用传送装置691创建并交换第二IEC蚀刻DV数据,并且可以使用传送装置692创建并交换第一IEC蚀刻CV数据。另外,第二IEC-MIMO模型684b可以创建和/或使用与第一和/或第二IEC蚀刻工序相关联的IE传感器数据和/或第二处理数据,并且可以使用传送装置(690、691和/或692)对IE传感器数据和/或第二处理数据进行前馈和/或反馈。当选择的第二IEC蚀刻工序包括一个或更多个第二IEO蚀刻制程时,可以使用本文中图2A至图2G和图3A至图3G中所述的蚀刻子系统中的一个或更多个来执行第二IEO蚀刻制程。在一些实例中,可以使用第二IEC蚀刻工序对其上具有多个第二栅堆叠606b的第二图案化晶圆602b进行蚀刻,以形成其上具有多个第三栅堆叠607b的第三图案化晶圆603a。可替代地,可以形成其他图案化晶圆。在图6A中,包括第三栅堆叠607b的第三图案化晶圆603b示出为包括:衬底层610b;其中具有多个蚀刻的目标结构625b的蚀刻的目标层620ba;以及其中具有多个蚀刻的第三硬掩模结构635b的蚀刻的第三硬掩模层630ba。另外,第三图案化晶圆603b可以包括预先在其上形成的多个蚀刻的目标结构625a以及预先在其上形成的多个蚀刻的第三硬掩模结构635a。例如,衬底层610b可以包括半导体材料;蚀刻的目标层620ba可以包括蚀刻的HfO2材料;蚀刻的第三硬掩模层630ba可以包括TiN材料。在第二IEC蚀刻工序期间,第二图案化晶圆602b上的蚀刻的第二硬掩模特征645b的图案可以用于在第三图案化晶圆603a上形成蚀刻的第三硬掩模结构635b的图案以及蚀刻的目标结构625b的图案。蚀刻的第三硬掩模层630ba可以包括多个蚀刻的第三硬掩模结构635b,并且蚀刻的第三硬掩模结构635b可以具有结构宽度636b、结构厚度637b以及结构SWA638b。例如,蚀刻的第三硬掩模结构宽度636b可以从约10nm至约200nm变化,并且结构厚度637b可以从约20nm至约400nm变化,并且SWA638b可以从约87度至约95度变化。另外,蚀刻的目标层620ba可以包括多个蚀刻的目标层结构625b,并且蚀刻的目标层结构625b可以具有目标结构宽度626b、目标结构厚度627b以及目标结构SWA628b。例如,蚀刻的目标结构宽度626b可以从约10nm至约200nm变化,并且目标结构厚度627b可以从约20nm至约400nm变化并且目标结构SWA628b可以从约87度至约95度变化。蚀刻的第三硬掩模层630ba可以包括多个预先蚀刻的第三硬掩模结 构635a,并且预先蚀刻的第三硬掩模结构635a可以具有结构宽度636a、结构厚度637a以及结构SWA638a。例如,预先蚀刻的第三硬掩模结构宽度636a可以从约10nm至约200nm变化,结构厚度637a可以从约20nm至约400nm变化,并且SWA638a可以从约87度至约95度变化。另外,蚀刻的目标层620aa可以包括多个预先蚀刻的目标层结构625a,并且预先蚀刻的目标层结构625a可以具有预先蚀刻的目标结构宽度626a、目标结构厚度627a以及目标结构SWA628a。例如,预先蚀刻的目标结构宽度626a可以从约10nm至约200nm变化,目标结构厚度627a可以从约20nm至约400nm变化,并且目标结构SWA638a可以从约87度至约95度变化。此外,可以在预先蚀刻的目标层结构625a与蚀刻的目标结构625b之间建立第一间隔距离629b,并且第一间隔距离629b可以从约10nm至约200nm变化。可以在预先蚀刻的第三硬掩模结构635a与蚀刻的第三硬掩模结构宽度636b之间建立第二间隔距离639b,并且第二间隔距离639b可以从约10nm至200nm变化。在一些实施方式中,当执行第二IEO蚀刻制程时,第二图案化晶圆602b可以位于晶圆保持器(图2A至图2G中所示的220)和/或晶圆保持器(图3A至图3G中所示的320)上,并且可以在IEC处理室(图2A至图2G中所示的210)和/或IEC处理室(图3A至图3G中所示的310)中形成第二IEO等离子体,并且可以执行第二IEO蚀刻制程。在第二IEO蚀刻制程期间,可以使用一个或更多个IE传感器(图2A至图2G中所示的223和/或234)和/或IE传感器(图3A至图3G中所示的323和/或334)来收集第二IE蚀刻传感器数据,并且控制器(图2A至图2G中所示的295)和/或控制器(图3A至图3G中所示的395)可以将第二IE蚀刻传感器数据与历史IE传感器数据进行比较,可以存储第二IE蚀刻传感器数据。例如,可以在第二IE相关蚀刻制程期间,使用处理传感器(图2A至图2G中所示的236)和/或处理传感器(图3A至图3G中所示的336)来收集第二处理数据。另外,用于第二IEO蚀刻制程的配方可以依赖于用于软掩模特征675b的处理参数和/或轮廓数据。当选择的第二IEC蚀刻工序包括附加的第二IE相关制程时,可以使用图1中所示的子系统(110、120、130、140、150、160以及170)中的一个或更多个来执行附加的第二IE相关制程。在一些实施方式中,第二IEC蚀刻工序可以包括第三硬掩模层蚀刻 制程。例如,第二IEC蚀刻工序可以包括TiN层蚀刻制程。在一些实施例中,第二IEC蚀刻工序也可以包括灰化制程、清洗制程和/或CMP制程。在其他实施例中,第二IEC蚀刻工序可以包括IE相关计量制程和/或IE相关检查制程。在图6B中,示出了第一输出数据模型685b,并且当执行第一输出数据模型685b时,可以对第一组输出数据进行分析。第一输出数据可以包括实时和/或历史IE相关数据。例如,第一输出数据模型685b与其他MIMO模型(680b、681b、682b、683b以及684b)之间可以使用传送装置690创建并交换第三IEC蚀刻MV数据,可以使用传送装置691创建并交换第三IEC蚀刻DV数据,并且可以使用传送装置692创建并交换第三IEC蚀刻CV数据。另外,第一输出数据模型685b可以分析与第一和/或第二IEC蚀刻工序相关联的IE传感器数据和/或处理数据,并且可以使用传送装置(690、691和/或692)对所分析的处理数据和/或所分析的IE传感器数据进行前馈和/或反馈。当执行第一输出数据模型685b时,可以执行更新制程用于第一和/或第二IEC蚀刻工序。例如,可以执行更新制程来更新第一和/或第二处理参数、IE数据以及处理数据。另外,可以执行更新制程来更新第一和/或第二IE相关库数据。第一输出数据模型685b与其他MIMO模型(680b、681b、682b、683b以及684b)之间可以使用传送装置690交换更新IE蚀刻MV数据,可以使用传送装置691交换更新IE蚀刻DV数据,并且可以使用传送装置692交换更新IE蚀刻CV数据。在工艺开发期间,DOE技术可以用于检查基本组模型(680b至685b)并且开发简化组MIMO模型。在一些实施方式中,第二IE蚀刻工序可以包括一个或更多个IEO蚀刻制程,IEO蚀刻制程可以包括“穿透(BT)”蚀刻制程、主蚀刻(ME)蚀刻制程、过蚀刻(OE)蚀刻制程以及氮化钛(TiN)蚀刻制程。可替代地,可以使用其他蚀刻、灰化或清洁制程。在IEO硬掩模(SiARC)蚀刻制程期间,室压力可以在约12mT至约18mT的范围内;上功率可以从约450瓦特至约550瓦特变化;下功率可以从约90瓦特至约110瓦特变化;ESC电压可以设置为约2500V;四氟化碳(CF4)流率可以在约60sccm至约100sccm之间变化;三氟甲烷(CHF3)流率可以在约40sccm至约60sccm之间变化;上部室温度可以从约70摄氏度至约90摄氏度变化;室壁温度可以从约50摄氏度至约 70摄氏度变化;底部室温度可以从约10摄氏度至约30摄氏度变化;晶圆保持器的中心的温度可以从约12摄氏度至约20摄氏度变化;晶圆保持器的边缘的温度可以从约8摄氏度至约12摄氏度变化;用于晶圆保持器的中心背面压力可以从约15托至约25托变化;用于晶圆保持器的边缘背面压力可以从约27托至约33托变化;并且处理时间可以从约60秒至约90秒变化。在IEO宽度控制(GWL或FWL)蚀刻制程中,室压力可以在约15mT至约25mT的范围内;上功率可以从约450瓦特至约550瓦特变化;下功率可以从约90瓦特至约110瓦特变化;ESC电压可以设置为约2500V;O2流率可以在约30sccm至约50sccm之间变化;CO2流率可以在约70sccm至约90sccm之间变化;HBr流率可以在约25sccm至约35sccm之间变化;上部室温度可以从约70摄氏度至约90摄氏度变化;室壁温度可以从约50摄氏度至约70摄氏度变化;底部室温度可以从约10摄氏度至约30摄氏度变化;晶圆保持器的中心的温度可以从约12摄氏度至约20摄氏度变化;晶圆保持器的边缘的温度可以从约8摄氏度至约12摄氏度变化;用于晶圆保持器的中心背面压力可以从约15托至约25托变化;用于晶圆保持器的边缘背面压力可以从约27托至约33托变化;并且处理时间可以从约90秒至约130秒变化。在IEOTEOS层蚀刻制程期间,室压力可以在约35mT至约45mT的范围内;上功率可以从约550瓦特至约650瓦特变化;下功率可以从约90瓦特至约110瓦特变化;ESC电压可以设置为约2500V;CF4流率可以在约40sccm至约60sccm之间变化;CHF3流率可以在约40sccm至约60sccm之间变化;O2流率可以在约3sccm至约7sccm之间变化;上部室温度可以从约30摄氏度至约90摄氏度变化;室壁温度可以从约50摄氏度至约70摄氏度变化;底部室温度可以从约30摄氏度至约50摄氏度变化;晶圆保持器的中心的温度可以从约25摄氏度至约35摄氏度变化;晶圆保持器的边缘的温度可以从约8摄氏度至约12摄氏度变化;用于晶圆保持器的中心背面压力可以从约15托至约25托变化;用于晶圆保持器的边缘背面压力可以从约27托至约33托变化;并且处理时间可以从约50秒至约90秒变化。在IEOTEOSOE蚀刻制程期间,室压力可以在约35mT至约45mT的范围内;上功率可以从约550瓦特至约650瓦特变化;下功率可以从约90瓦特至约110瓦特变化;ESC电压可以设置为约2500V;CF4流率可 以在约40sccm至约60sccm之间变化;CHF3流率可以在约40sccm至约60sccm之间变化;O2流率可以在约3sccm至约7sccm之间变化;上部室温度可以从约30摄氏度至约90摄氏度变化;室壁温度可以从约50摄氏度至约70摄氏度变化;底部室温度可以从约30摄氏度至约50摄氏度变化;晶圆保持器的中心的温度可以从约25摄氏度至约35摄氏度变化;晶圆保持器的边缘的温度可以从约8摄氏度至约12摄氏度变化;用于晶圆保持器的中心背面压力可以从约15托至约25托变化;用于晶圆保持器的边缘背面压力可以从约27托至约33托变化;并且处理时间可以从约5秒至约10秒变化。在IEOBT蚀刻制程期间,室压力可以在约8mT至约12mT的范围内;上功率可以从约600瓦特至约700瓦特变化;下功率可以从约175瓦特至约200瓦特变化;ESC电压可以设置为约2500V;CF4流率可以在约120sccm至约150sccm之间变化;上部室温度可以从约70摄氏度至约90摄氏度变化;室壁温度可以从约50摄氏度至约70摄氏度变化;底部室温度可以从约10摄氏度至约30摄氏度变化;晶圆保持器的温度可以从约60摄氏度至约70摄氏度变化;用于晶圆保持器的中心背面压力可以从约8托至约12托变化;用于晶圆保持器的边缘背面压力可以从约8托至约12托变化;并且处理时间可以从约5秒至约15秒变化。在IEOME蚀刻制程期间,室压力可以在约8mT至约12mT的范围内;上功率可以从约120瓦特至约150瓦特变化;ESC电压可以设置为约2500V;O2流率可以在约2sccm至约6sccm之间变化;HBr流率可以在约220sccm至约280sccm之间变化;上部室温度可以从约70摄氏度至约90摄氏度变化;室壁温度可以从约50摄氏度至约70摄氏度变化;底部室温度可以从约10摄氏度至约30摄氏度变化;晶圆保持器温度可以从约60摄氏度至约70摄氏度变化;用于晶圆保持器的中心背面压力可以从约8托至约12托变化;用于晶圆保持器的边缘背面压力可以从约8托至约12托变化;并且处理时间可以从约50秒至约70秒变化。在IEOOE蚀刻制程期间,室压力可以在约8mT至约12mT的范围内;上功率可以从约120瓦特至约150瓦特变化;下功率可以从约20瓦特至约40瓦特变化;ESC电压可以设置为约2500V;O2流率可以在约2sccm至约6sccm之间变化;HBr流率可以在约220sccm至约280sccm之间变化;上部室温度可以从约70摄氏度至约90摄氏度变化;室壁温度可以从约50摄氏度至约70摄氏度变化;底部室温度可以从约60摄氏度 至约80摄氏度变化;晶圆保持器温度可以从约60摄氏度至约70摄氏度变化;用于晶圆保持器的中心背面压力可以从约8托至约12托变化;用于晶圆保持器的边缘背面压力可以从约8托至约12托变化;并且处理时间可以从约20秒至约30秒变化。在IEOTiN蚀刻制程期间,室压力可以在约8mT至约12mT的范围内;上功率可以从约180瓦特至约220瓦特变化;下功率可以从约40瓦特至约60瓦特变化;ESC电压可以设置为约2500V;氯气(Cl2)流率可以在约12sccm至约18sccm之间变化;Ar流率可以在约180sccm至约220sccm之间变化;上部室温度可以从约70摄氏度至约90摄氏度变化;室壁温度可以从约50摄氏度至约70摄氏度变化;底部室温度可以从约60摄氏度至约80摄氏度变化;晶圆保持器温度可以从约60摄氏度至约70摄氏度变化;用于晶圆保持器的中心背面压力可以从约8托至约12托变化;用于晶圆保持器的边缘背面压力可以从约8托至约12托变化;并且处理时间可以从约50秒至约80秒变化。在IEOHK蚀刻制程期间,HK室压力可以在约8mT至约12mT的范围内;上功率可以从约550瓦特至约650瓦特变化;ESC电压可以设置为约500V;三氯化硼(BCl3)流率可以在约120sccm至约180sccm之间变化;上部室温度可以从约70摄氏度至约90摄氏度变化;室壁温度可以从约40摄氏度至约60摄氏度变化;底部室温度可以从约60摄氏度至约80摄氏度变化;并且处理时间可以从约30秒至约40秒变化。在IEO灰化制程期间,室压力可以在约125mT至约175mT的范围内;上功率可以从约350瓦特至约450瓦特变化;下功率可以从约20瓦特至约30瓦特变化;ESC电压可以设置为约2500V;O2流率可以在约430sccm至约470sccm之间变化;上部室温度可以从约30摄氏度至约90摄氏度变化;室壁温度可以从约50摄氏度至约70摄氏度变化;底部室温度可以从约70摄氏度至约80摄氏度变化;晶圆保持器的中心的温度可以从约70摄氏度至约80摄氏度变化;晶圆保持器的边缘的温度可以从约8摄氏度至约12摄氏度变化;用于晶圆保持器的中心背面压力可以从约15托至约25托变化;用于晶圆保持器的边缘背面压力可以从约27托至约33托变化;并且处理时间可以从约150秒至约210秒变化。在IE蚀刻MIMO模型开发期间,可以对IE蚀刻MIMO中实际使用的前馈和反馈路径(675、680以及685)的数量进行优化。DOE技术可以用于创建和/或检查IE蚀刻MIMO模型(660至666)并且开发简化 的一组前馈和反馈路径/变量。在模型开发以及DOE制程期间,可以使用四个示例性图案化晶圆(601至604)中的一个或更多个以及IE蚀刻MIMO模型(660-666)中的一个或更多个。可以将用于四个示例性图案化晶圆(601至604)中的一个或更多个的配方数据和/或处理数据以及用于IE蚀刻MIMO模型(660-666)中的一个或更多个的建模数据存储在库中并且在IE蚀刻MIMO建模制程期间使用。图7示出用于根据本发明的实施方式的两部分离子能量控制多输入/多输出(IEC-MIMO)模型的示例性框图。第一一般IEC-MIMO模型710示出为可以与第一IE蚀刻(IE1)工序相关联并且包括第一组操纵变量MV(1a至na)、第一组干扰变量DV(1a至na)以及第一组控制变量CV(1a至na)。第一组示例性MV711示出为包括可以与第一IEC-MIMO模型710相关联的八个操纵变量{(MV1a)--(MV8a)}。可替代地,不同数量的不同操纵变量可以与第一IEC-MIMO模型710相关联。第一组示例性DV712示出为包括可以与第一IEC-MIMO模型710相关联的六个干扰变量{(DV1a)--(DV6a)}。可替代地,不同数量的不同干扰变量可以与第一IEC-MIMO模型710相关联。第一组示例性CV713示出为包括可以与第一IEC-MIMO模型710相关联的六个控制变量{(CV1a)--(CV6a)}。可替代地,不同数量的不同控制变量可以与第一IEC-MIMO模型710相关联。另外,第一组示例性方程715示出为可以与第一IEC-MIMO模型710相关联。可替代地,其他方程可以与第一IEC-MIMO模型710相关联。第二一般IEC-MIMO模型720示出为可以与第二IE蚀刻(IE2)工序相关联并且包括第二组操纵变量MV(1b至nb)、第二组干扰变量DV(1b至nb)以及第二组控制变量CV(1b至nb)。第二组示例性MV721示出为包括可以与第二IEC-MIMO模型720相关联的八个操纵变量{(MV1b)--(MV8b)}。可替代地,不同数量的不同操纵变量可以与第二IEC-MIMO模型720相关联。第二组示例性DV722示出为包括可以与第二IEC-MIMO模型720相关联的六个干扰变量{(DV1b)--(DV6b)}。可替代地,不同数量的不同干扰变量可以与第二IEC-MIMO模型720相关联。第二组示例性CV723示出为包括可以与第二IEC-MIMO模型720相关联的六个控制变量{(CV1b)--(CV6b)}。可替代地,不同数量的不同控制变量可以与第二IEC-MIMO模型720相关联。另外,第二组示例性方程725示出为可以与第二IEC-MIMO模型720相关联。可替代地,其他方程可 以与第二IEC-MIMO模型720相关联。可以将与第一IEC-MIMO模型710相关联的变量(711、712或713)中的一个或更多个前馈730至第二IEC-MIMO模型720,并且可以将与第二IEC-MIMO模型720相关联的变量(721、722或723)中的一个或更多个反馈735至第一IEC-MIMO模型710。图8示出根据本发明的实施方式的、用于开发用于离子能量控制(IEC)蚀刻工序的多输入/多输出(IEC-MIMO)模型的制程的示例性流程图。在示出的实施方式中,制程800示为具有多个步骤。可替代地,可以使用不同数量的替代步骤。在810中,一个或更多个离子能量控制(IEC)蚀刻工序可以视为用于IEC-MIMO建模分析制程的备选。在一些实例中,可以建立一个或更多个IEC蚀刻工序以及相关联的IEC-MIMO模型以形成一个或更多个图案化晶圆(501a、502a以及503a,图5A’)或(501b、502b以及503b,图5B’)或(601a、602a以及603a,图6A’)或(601b、602b以及603b,图6B’)。在815中,可以确定第一组控制输出变量(CV)以及与CV相关联的范围。CV中的一个或更多个可以由最终用户或客户指定。CV可以包括与以下堆叠相关联的一个或更多个临界尺寸(CD)和/或一个或更多个侧壁角度:图5A’所示的特征堆叠(505a、506a以及507a)或者图5B’所示的特征堆叠(505b、506b以及507b)中的一个或更多个、或者图6A’所示的栅堆叠(605a、606a以及607a)中的一个或更多个、或者图6B’所示的栅堆叠(605b、606b以及607b)中的一个或更多个。在一些实例中,可以执行第一和第二IEC蚀刻工序来形成pFET器件、nFET器件、三栅器件以及FinFET器件。在820中,可以使用一个或更多个备选离子能量优化(IEO)蚀刻制程/配方,来对于与IEC-MIMO相关联的操纵变量(MV)确定第一组备选。MV可以包括WiW操纵变量(WiW-MV),并且当正在对晶圆进行处理的同时,WiW-MV可以包括可以被控制的“快”MV。MV可以包括W2W操纵变量(W2W-MV),并且当正在对晶圆批次进行处理时,W2W-MV可以包括可以被控制的“慢”MV。可以针对备选配方中的每个步骤检查MV的范围。当使用具有快速响应时间的两区域晶圆保持器时,晶圆保持器的中心 温度和边缘温度可以用作(WiW-MV)并且可以逐步地改变。当具有快速响应时间的RF源与分割式上电极和功率分配器一起使用时,用于等离子体的中心RF功率和边缘RF功率可以用作(WiW-MV)并且可以逐步地改变。当使用低温冷却器(-10摄氏度)时,从中心到边缘存在较大的温度差。另外,压力、时间、以及气体流量可以用作MV。干扰变量(DV)可以包括中心及边缘处、用于第一输入特征(IF1)的CD及SWA值、中心及边缘处的控制层CD及SWA、中心及边缘处的特征厚度、不同层的化学特性及蚀刻速率特性、对于室的维护事件、室到室数据、输入IE值以及其他堆叠数据。在825中,可以执行实验设计(DOE)制程来分析IEC蚀刻工序和/或IEC-MIMO模型。使用根据DOE晶圆的IE传感器晶圆和/或IE传感器数据,可以执行与IEC和/或IEO相关的实验来建立可以将MV与每个CV联系的统计模型。当实验的数量增加时,可以获得更精确的模型,但是以附加的材料和时间为代价。因此,成本和实用性可以限制使用IE传感器晶圆执行的工序的数量和/或在IEC处理室中处理的DOE晶圆的数量。DOE制程的关键因素为预测模型的格式。可以选择一个或更多个模型种类,可以为CV和/或MV设置范围,以及可以将统计软件例如(来自SAS研究所的统计软件)用于建立一个或更多个DOE表格中。DOE数据用于建立可以与第一、第二、和/或第三IEC蚀刻工序相关联的备选MV、CV以及DV。在其他分析制程中,可以使用其他MV、DV以及CV。在一些实施方式中,用于蚀刻室和IM室的室状态数据可以用作操纵变量。可替代地,处理建模可以假设室状态在晶圆之间和/或在批次之间是稳定的。在一些实例中,IEC蚀刻工序可以包括一个或更多个IEO蚀刻制程,IEO蚀刻制程可以包括Si-ARC层蚀刻制程、GWC层蚀刻制程、TEOS层蚀刻制程、TEOS过蚀刻(OE)蚀刻制程以及灰化制程。在其他实施例中,IEC蚀刻工序可以包括“穿透”(BT)蚀刻制程、主蚀刻(ME)制程、过蚀刻(OE)蚀刻制程、氮化钛(TiN)蚀刻制程以及HK蚀刻制程。对于IEC蚀刻工序获得的DOE数据可以包括IE传感器数据、处理传感器数据以及IE传感器晶圆数据。在830中,在执行填充一个或更多个DOE表格所需要的第一IEC蚀刻工序和/或第二IEC蚀刻工序之后,可以通过使用最小二乘法以及统计软件创建具有二次项和相互作用项的非线性模型。在一些模型中,可以删 除具有与其相关联的极小系数的多个项。在835中,可以使用DOE数据创建一个或更多个线性增益矩阵(G)。例如,可以使用以下方程创建相对增益阵列(RGA)矩阵:其中,i=1,2,……,n并且j=1,2,……,n。符号表示用除保持为常数的MVj之外的所有操纵变量中来评估的偏微分,并且该项为CVi与MVj之间的开环增益。另外,符号可以理解为指出所有控制环闭合时MVj与CVi的作用的闭环增益。当获得非方矩阵时,可以消除MV或CV中的一些来创建方矩阵。另外,当存在有比CV更多的MV时,可以使用非方RGA(NRGA)来分析非方矩阵。例如并且使用伪逆G+代替正逆G-1。NRGA提供用于正方系统的选择的若干标准,但是其标准在一些非正方系统中不是一直有效,所以应该需要考虑子系统的正方配对的所有组合。为了将一个子系统与其他进行比较,可以将RGA配对规则用作度量。这创建了然后可以对其进行比较以获得最好方矩阵的子组合。在840中,可以使用线性增益矩阵(G)中的一个或更多个计算一个或更多个RGA。例如,当使用方矩阵时,其中G为增益矩阵并且G-1为逆增益矩阵。在845中,RGA中的配对规则可以用于研究MV和CV的最佳好组合。RGA分析可以用于测量的模型参数的选择,并且可以选择CV-MV对使得它们的和最接近于一。另外,可以避免对负要素的配对。另外,RGA分析可以用于确定多个备选模型并且识别最佳的问题解决方案。当CV比MV多时,RGA分析可以用于选择最可控的CV(CV至MV的敏 感性分析)。在850中,可以确定系统稳定性和调试。例如,Niederlinski稳定性理论阐明由对角配对形成的闭路系统是不稳定的,如果:其中,NST为Niederlinski指数,G为增益矩阵,det(G)为增益矩阵(G)的行列式,并且gii为增益矩阵的对角要素,另外,可以使用最大与最小奇异值之比率来确定条件数(CN)。关于RGA分析的附加的信息可以在SigurdSkogestad和IanPostlethwaite所著的名称为“MultivariableFeedbackControl:AnalysisandDesigh”的书(ISBN978047001168-3)第75至86页以及第431至449页中找到,将其全部内容并入到本文。例如,当CN大于五十,系统几乎异常并且具有差的控制性能。在855中,可以使用实际的装备和/或性能约束对IEC-MIMO模型进行优化。在一些实施例中,可以检查并选择测量位置以优化性能,可以建立前测量制程和/或后测量制程的数量以优化性能,并且可以检查多室工序以优化吞吐量。可以通过调谐EWMA滤波器来优化反馈。可以确定用于MV的时间常数,并且它们的更新频率可以基于批到批(L2L)、W2W、WiW以及处理步骤值。另外,可以检查处理中心点、CV中心点以及MV中心点以优化性能。历史数据可以用于执行模拟。晶圆可以包括一个或更多个层,一个或更多个层可以包括半导体材料、碳材料、介电材料、玻璃材料、陶瓷材料、金属材料、氧化材料、掩模材料或平坦化材料、或者其组合。在其他实施方式中,可以对一个或更多个IE传感器晶圆进行处理以验证IEC-MIMO模型和/或验证IEC蚀刻工序。当对IEC蚀刻工序或IEC-MIMO模型进行验证时,可以在测试晶圆上形成一个或更多个经验证的金属-栅结构,并且当对测试晶圆进行检查时,可以使用测试参考周期结构。在检查期间,可以从测试参考周期结构获得检查数据。可以从包括经验证的栅结构、目标特征以及相关联数据的IEC-MIMO库中选择最佳估计结构以及相关联的最佳估计数据。可以在来自库的测试参考周期结构与最佳估计结构之间计算一个或更多个差,可以将差与匹配标准、创建标准、或产品要求、或者其任意组合进行比较。当使用匹配标准时,测试 参考周期结构可以视为IEC-MIMO库的成分,并且当符合或超出匹配标准时,则测试晶圆可以视为参考“黄金”晶圆。当使用创建标准时,测试参考周期结构可以视为IEC-MIMO库的新成分,并且如果符合创建标准,则测试晶圆可以视为经验证的参考晶圆。当使用产品要求数据时,测试参考周期结构可以视为经验证的结构,并且如果符合一个或更多个产品要求,则测试晶圆可以视为经验证的产品晶圆。如果未符合标准或产品要求中的一个或更多个,则可以实施校正动作。可以使用测试参考结构数据以及最佳估计结构数据来建立IEC-MIMO置信数据和/或风险数据,用于测试参考结构。例如,IEC-MIMO评估库数据可以包括适合度(GOF)数据,创建规则数据、测量数据、检查数据、验证数据、图数据、置信数据、精确度数据、处理数据或均一性数据、或者其任意组合。当制造和/或检查栅相关结构和/或目标特征结构时,可以使用精确度和/或公差限制。当这些限制不正确时,可以执行改进制程。可替代地,可以执行其他制程,可以使用其他位点、或者可以使用其他晶圆。当使用改进制程时,改进制程可以利用双线性改进算法、拉格朗日改进算法、三次样条改进算法、Aitken改进算法、加权平均改进算法、多次二次改进算法、双三次改进算法、Turran改进算法、小波改进算法、贝塞尔改进算法、Everett改进算法、有限差改进算法、高斯改进算法、厄密(Hermite)改进算法、牛顿均差改进算法、密切改进算法、或Thiele改进算法,或其组合。图9示出根据本发明的实施方式的、用于使用IE相关处理工序处理晶圆的方法的简化流程图。在910中,可以通过处理系统接收第一组图案化晶圆(501a或601a)以及相关联的离子能量(IE)数据,并且每个图案化晶圆(501a或601a)可以包括第一图案化软掩模层(570a或670a)以及多个附加层。第一图案化软掩模层(570a或670a)可以包括多个栅相关软掩模特征以及至少一个第一周期评估结构(未示出)。晶圆数据可以包括用于第一图案化软掩模层中的至少一个周期结构的实时集成计量(IM)数据。在915中,可以从第一组图案化晶圆中选择图案化晶圆。在920中,可以使用IE数据建立用于所选择的图案化晶圆的第一离子能量(IE)相关处理工序。在925中,可以执行第一查询以确定第一IE相关处理工序是否包括 第一离子能量控制(IEC)蚀刻工序。当第一IE相关处理工序包括第一IEC蚀刻工序时,制程900可以如图9所示分支到930并且继续。当第一IE相关处理工序不包括第一IEC蚀刻工序时,制程900可以如图9所示分支到935并且继续。在930中,当第一IE相关处理工序包括第一IEC蚀刻工序时,可以执行第一IEC蚀刻工序,并且当使用第一组图案化晶圆执行第一IEC蚀刻工序时,可以形成第二组图案化晶圆。在935中,当第一IE相关处理工序不包括第一IEC蚀刻工序时,可以执行至少一个第一校正动作。在一些实施方式中,制程900可以终止于940。在其他实施方式中,可以执行另一查询以确定第一IEC蚀刻工序是否包括第一IEO蚀刻制程,并且当第一IEC蚀刻工序包括第一IEO蚀刻制程时,可以执行第一IEO蚀刻制程。例如,第一IEO蚀刻制程可以使用第一蚀刻子系统,第一蚀刻子系统具有配置于其中的第一IEC处理室以及耦接至其的第一MIMO控制器。另外,当第一IEC蚀刻工序不包括第一IEO蚀刻制程时,可以执行第一校正动作。当第一IEC蚀刻工序包括第二IEO蚀刻制程时,可以执行第二IEO蚀刻制程。例如,第二IEO蚀刻制程可以使用第二蚀刻子系统,第二蚀刻子系统具有配置于其中的第二IEC处理室以及耦接至其的第二MIMO控制器。另外,当IEC蚀刻工序不包括第二IEO蚀刻制程时,可以执行第一确认制程。在一些实例期间,执行第二IEO蚀刻制程可以包括:从第一组蚀刻的图案化晶圆中选择蚀刻的图案化晶圆;将所选择的蚀刻的图案化晶圆放置在第二IEC处理室中的第二晶圆保持器上;在第二IEC处理室中形成第二IEO等离子体;使用第二IEO等离子体处理所选择的蚀刻的图案化晶圆;以及在处理所选择的蚀刻的图案化晶圆的同时获得第二IE传感器数据,其中第二IE传感器耦接到第二IEC处理室并且配置为获得第二IE传感器数据。在一些实施方式中,本发明的方法还可以包括:确定第一IE相关处理工序是否包括第二IEC蚀刻工序;当第一IE相关处理工序包括第二IEC蚀刻工序时,执行第二IEC蚀刻工序;以及当第一IE相关处理工序不包括第二IEC蚀刻工序时,执行确认制程。例如,当使用第一组图案 化晶圆执行第一IEC蚀刻工序以及第二IEC蚀刻工序时,可以形成第三组图案化晶圆。可以执行一个或更多个查询以确定第一IEC蚀刻工序是否包括新第一IEO蚀刻制程。当执行新第一IEO蚀刻制程时,新第一IEO蚀刻制程可以使用新第一蚀刻子系统,新第一蚀刻子系统具有配置于其中的新第一IEC处理室以及耦接至其的新第一MIMO控制器。当IEC蚀刻工序不包括新第一IEO蚀刻制程时,可以执行新第一确认制程。另外,执行新第一IEO蚀刻制程可以包括:从一组图案化晶圆中选择新图案化晶圆;将所选择的新图案化晶圆放置在新IEC处理室中的新晶圆保持器上;在新IEC处理室中形成新IEO等离子体;使用新IEO等离子体处理所选择的新图案化晶圆;以及在处理所选择的新晶圆同时获得新IE传感器数据,其中新IE传感器耦接到新IEC处理室并且配置为获得新IE传感器数据。可以执行其他查询以确定第二IEC蚀刻工序是否包括新第二IEO蚀刻制程。当第二IEC蚀刻工序包括新第二IEO蚀刻制程时,可以执行新第二IEO蚀刻制程。例如,新第二IEO蚀刻制程可以使用新第二蚀刻子系统,新第二蚀刻子系统具有配置于其中的新第二IEC处理室以及耦接至其的新第二MIMO控制器。当第二IEC蚀刻工序不包括新第二IEO蚀刻制程时,可以执行新第二确认制程。当执行第二IEO蚀刻制程时,可以从第一组蚀刻的第二图案化晶圆中选择蚀刻的第二图案化晶圆;所选择的蚀刻的第二图案化晶圆可以位于新第二IEC处理室中的新第二晶圆保持器上;可以在新第二IEC处理室中形成新第二IEO等离子体;可以使用新第二IEO等离子体处理所选择的第二蚀刻的图案化晶圆;并且在处理所选择的第二蚀刻的图案化晶圆的同时可以获得新第二IE传感器数据。例如,新第二IE传感器可以耦接到新第二IEC处理室并且可以配置为获得第二IE传感器数据。在其他实施方式中,可以执行至少一个附加的查询以确定第一IE相关处理工序是否包括第二IEC蚀刻工序和第三IEC蚀刻工序。当第一IE相关处理工序包括第二IEC蚀刻工序和第三IEC蚀刻工序时,可以执行第二IEC蚀刻工序和第三IEC蚀刻工序。例如,当使用第一组图案化晶圆执行第一IEC蚀刻工序、第二IEC蚀刻工序以及第三IEC蚀刻工序时,可以形成第四组图案化的晶圆。当第一IE相关处理工序不包括第二IEC蚀刻工序和第三IEC蚀刻工序时,可以执行一个或更多个确认制程。当第二IEC蚀刻工序包括新第二IEO蚀刻制程时,可以使用新第二蚀刻子系统来执行新第二IEO蚀刻制程,新第二蚀刻子系统具有配置于其中的新第二IEC处理室以及耦接至其的新第二MIMO控制器。当第二IEC蚀刻工序不包括新第二IEO蚀刻制程时,可以执行新第二确认制程。当第三IEC蚀刻工序包括新第三IEO蚀刻制程时,新第三IEO蚀刻制程可以使用新第三蚀刻子系统,新第三蚀刻子系统具有配置于其中的新第三IEC处理室以及耦接至其的新第三MIMO控制器。当第三IEC蚀刻工序不包括新第三IEO蚀刻制程时,可以执行新第三确认制程。在又一实施方式中,本发明的方法还可以包括使用第一IEC-MIMO模型创建用于第一IEC蚀刻工序的第一模拟数据。例如,第一IEC-MIMO模型包括第一数量(Na)的第一控制变量(CV1a、CV2a、...CVNa)、第一数量(Ma)的第一操纵变量(MV1a、MV2a、...MVMa)以及第一数量(La)的第一干扰变量(DV1a、DV2a、...DVLa),其中(La、Ma和Na)为大于一的整数。可以在第一IEC蚀刻工序期间获得第一IE传感器数据;可以通过将第一模拟数据与第一IE传感器数据进行比较来建立第一差异数据;当第一差异数据小于或等于第一阈值数据时,可以验证第一IEC蚀刻工序;并且当第一差异数据大于第一阈值数据时,可以存储第一模拟数据和/或第一IE传感器数据。另外,可以使用用于第二IEC蚀刻工序的第二IEC-MIMO模型创建对于第二IEC蚀刻工序的第二模拟数据。第二IEC-MIMO模型可以包括第二数量(Nb)的第二控制变量(CV1b、CV2b、...CVNb),第二数量(Mb)的第二操纵变量(MVVb、MV2b、...MVMb)以及第二数量(Lb)的第二干扰变量(DV1b、DV2b、...DVLb),其中(Lb,Mb和Nb)为大于一的整数。当获得对于多组图案化晶圆(501a、502a以及503a,图5A’)或(501b、502b以及503b,图5B’)或(601a、602a以及603a,图6A’)或(601b、602b以及603b,图6B’)中的至少之一的评估数据时,可以执行一个或更多个查询以确定评估数据是否在一个或更多个限制之内。当评估数据在一个或更多个限制之内时,该组图案化晶圆可以视为经验证的晶圆。当评估数据不在限制中的一个或更多个之内时,可以执行一个或更多个校正动作。此外,可以使用用于第三IEC蚀刻工序的第三IEC-MIMO模型创建对于第三IEC蚀刻工序的第三模拟数据。第三IEC-MIMO模型可以包括 第三数量(Nc)的第三控制变量(CV1c、CV2c、...CVNc),第三数量(Mc)的第三操纵变量(MV1c、MV2c、...MVMc)以及第三数量(Lc)的第三干扰变量(DV1c、DV2c、...DVLc),其中(Lc、Mc和Nc)为大于一的整数。当收集IE相关数据时,可以使用多个验证晶圆和/或IE传感器晶圆并且可以识别备选干扰变量。在数据收集期间,可以使与一个或更多个CV相关联的变化最小化,并且收集的数据可以用于模拟。模拟可以实行与生产中使用的IEO蚀刻制程一样的工序。例如,可以在集成计量室中对所处理的晶圆中的一个或更多个进行测量并且IM数据可以包括来自每个引入晶圆上的图案化掩模层中的多个位点的CD和SWA数据。另外,可以接收并分析IE传感器数据、处理传感器数据和/或其他传感器数据。光栅密度和晶体管类型应该选择为与最关键芯片级性能度量(例如P或N沟道晶体管类型)相关,原因是每个晶体管结构可以具有可以与蚀刻轮廓控制需求相关的一些变化。图10示出根据本发明的实施方式的、用于离子能量(IE)传感器晶圆的示例性框图。在示出的实施方式中,示出了IE传感器晶圆1000的简化的俯视图。IE传感器晶圆1000可以具有约300毫米(mm)的第一直径1001。可替代地,直径1001可以更小或更大。IE传感器晶圆1000可以包括配置在IE传感器晶圆1000之内的一个或更多个第一位置处的一个或更多个离子能量分析器1010。例如,IE传感器晶圆1000及其使用方法可以为如在Chen等人于2010年8月17日颁发的题为“Two-GridIonEnergyAnalyzerandMethodsofManufacturingandOperating”的美国专利第7,777,179号中所描述,并且通过引用将其全部内容并入本文。另外,IE传感器晶圆以及其使用方法可以如在Chen等人于2011年1月25日颁发的题为“IonEnergyAnalyzerandMethodsofManufacturingandOperating”的美国专利第7,875,859号中所描述,并且通过引用其全部内容并入本文。此外,题为“IonEnergyAnalyzerandMethodsofManufacturingandOperating”的共同待审的美国临时专利申请序列第61/XXXXXX号(代理人案号TEA-051PROV),提供附加的信息,并且通过引用将该临时专利申请全部内容并入本文。示出了离子能量分析器1010的俯视图,并且离子能量分析器1010可以包括至少一个具有第二直径1011的圆形开口。第二直径1011可以从约10nm至约50nm变化。控制器1050在图10中示出,并且信号总线1055可以用于将控制器1050电耦接到IE传感器晶圆1000。例如,控制器1050可以使用信号总线1055与离子能量分析器1010中的一个或更多个交换IE相关数据。在一些实施方式中,离子能量分析器1010可以用于确定入射在浸入等离子体中的射频(RF)偏置的晶圆/衬底上的离子的离子能量分布(IED)。离子能量分析器1010可以包括暴露于等离子体的入口栅网(未示出)、布置成靠近入口栅网的电子排斥栅网(未示出)、以及布置成靠近电子排斥栅网的离子集电器(未示出)。可以将离子集电器耦接到配置在控制器1050中的离子选择电压源,并且配置为通过离子选择电压对离子集电器进行正偏置;并且可以将电子排斥栅网耦接到配置在控制器1050中的电子排斥电压源,并且配置为通过电子排斥电压对电子排斥栅网进行负偏置。另外,可以将配置在控制器1050中的离子电流表耦接到离子集电器来测量离子电流。可以在IE传感器晶圆1000的上表面上的一个或更多个第二位置处对多个测试芯片1020进行可拆装地耦接,第二位置可以靠近第一位置。例如,测试芯片1020可以包括特征堆叠(505a、506a、507a、505b、506b、507b)中的一个或更多个,或者栅堆叠(605a、606a、607a、605b、606b、607b)中的一个或更多个。图11示出根据本发明的实施方式的、用于使用IE传感器晶圆的方法。在1110中,IE传感器晶圆1000可以位于配置在图2A至图2G或图3A至图3G所示的IEC蚀刻子系统中IEC处理室(210,图2;或者310,图3)中的晶圆保持器(220,图2;或者320,图3)上。在1115中,可以在IE传感器晶圆1000的上表面上的一个或更多个第二位置处对一个或更多个测试芯片1020进行可拆装地耦接,并且第二位置可以靠近第一位置。例如,测试芯片1020可以包括特征堆叠(505a、506a、507a、505b、506b、507b)中的一个或更多个,或者栅堆叠(605a、606a、607a、605b、606b、607b)中的一个或更多个。在1120中,可以执行(离子能量优化)IEO蚀刻制程,其中在IEC处理室(210,图2;或者310,图3)中的至少之一中形成(离子能量优化)IEO等离子体。在1125中,当配置在IE传感器晶圆1000中的离子能量分析器1010包括离子电流收集器时,由离子电流收集器接收的离子电流可以通过控制 器1050测量,并且可以将离子电流作为离子选择栅网上的离子选择电压的函数进行存储。例如,离子电流收集器可以提供对用于测量的离子电流进行接收以及对有助于所接收的离子电流的离子进行选择的双重作用。当离子能量分析器1010包括入口栅网时,可以将入口栅网暴露于浮动DC电势下的等离子体。当离子能量分析器1010包括靠近入口栅网的电子排斥栅网时,可以用负DC电压对电子排斥栅网进行偏置以排斥来自等离子体的电子。当离子能量分析器1010包括靠近电子排斥栅网的离子集电器时,可以用正DC电压从控制器1050对离子集电器进行偏置以对到达离子集电器的离子进行区分。当形成IEO等离子体时,可以通过控制器1050测量离子集电器处的一个或更多个所选择的离子电流。例如,可以通过控制器1050将所选择的离子电流存储为离子集电器上的正DC电压的函数,并且离子集电器上的正DC电压可以变化。然后,可以通过控制器1050对作为离子选择电压的函数的存储的离子电流数据进行积分,以确定与测试电路相关联的IED。在1130中,可以在IEO蚀刻制程期间对处理数据进行测量和存储。例如可以将一个或更多个处理传感器(236,图2)或(336,图3)耦接到IEC处理室(210,图2)或(310,图3)以获得性能数据,并且可以将控制器1050耦接到处理传感器(236,图2)或(336,图3)以接收并分析性能数据。在1135中,在已经执行IEO蚀刻制程之后,可以将测试芯片1020中的一个或更多个从IE传感器晶圆移除。在1140中,在测试芯片1020已经从IE传感器晶圆移除并且已经执行IEO蚀刻制程之后,可以获得对于测试芯片1020中的一个或更多个的测量数据。例如,可以获得临界尺寸——扫描电子显微镜(CD-SEM)数据,可以获得ODP数据,并且可以获得透射电子显微镜(TEM)数据。在1145中,可以使用测量数据和IE相关参考数据来确定IE相关差异数据。例如,可以从IE相关数据库获得IE相关参考。在1150中,当差异数据小于或等于与IEO相关阈值时,与IEO蚀刻制程相关联的处理配方可以视为经验证的IEO处理配方。在1155中,当差异数据大于与IEO相关阈值时,与IEO蚀刻制程相关联的处理配方可以视为未验证IEO处理配方。图12示出根据本发明的实施方式的、第一组离子能量分布(IED) 数据。在示出的实施方式中,示出了第一离子能量分布(IED)数据1200,其中相对于用于第一IEO蚀刻制程的能量(eV)绘制测量的IED(A/eV)数据1201以及参考IED(A/eV)数据1202。对于第一测量的IED曲线1201示出了第一测量上数据点1202、第二测量上数据点1204以及第三测量上数据点1206,并且可以使用测量上数据点(1202、1204以及1206)中的一个或更多个来表征第一IEO蚀刻制程。例如,可以计算在测量IED曲线1201上的测量上数据点(1202、1204以及1206)与参考曲线1202上的对应点之间的第一误差值,并且第一误差值中的一个或更多个可以用于优化和/或表征第一IEO蚀刻制程。对于第一测量IED曲线1201示出了第一测量下数据点1212、第二测量下数据点1214以及第三测量下数据点1216,并且可以使用测量下数据点(1212、1214以及1216)中的一个或更多个来表征第一IEO蚀刻制程。另外,也可以计算在测量的IED曲线1201上的测量下数据点(1212、1214以及1201)与参考曲线1202上的对应点之间的附加的第一误差值,并且附加的第一误差值中的一个或更多个可以用于优化和/或表征第一IEO蚀刻制程。对于第一IED曲线1200示出了测量下差异值1222以及测量上差异值1255,并且可以使用测量差异值(1222和1225)中的一个或更多个来表征第一IEO蚀刻制程。例如,可以计算在测量的IED曲线1201上的测量差值(1222和1225)与参考曲线1202上的对应的差值(未示出)之间的第二误差值,并且第二误差值中的一个或更多个可以用于优化和/或表征第一IEO蚀刻制程。对于第一IED曲线1200示出了第一测量的峰数据点1231、第二测量的峰数据点1232以及测量的峰间隔值1235,并且测量的峰数据点(1232和1232)中的一个或更多个和/或测量的峰间隔值1235可以用于表征第一IEO蚀刻制程。例如,可以计算在测量的IED曲线1201上的测量的峰数据点(1231和1232)与参考曲线1202上的对应点之间的第三误差值,并且第三误差值中的一个或更多个可以用于优化和/或表征第一IEO蚀刻制程。也可以计算在测量的IED曲线1201上的测量的峰间隔值1235与参考曲线1202上的对应峰间隔值之间的附加的第三误差值,并且附加的第三误差值中的一个或更多个可以用于优化和/或表征第一IEO蚀刻制程。对于第一IED曲线1200示出了第一测量的谷数据点1250,并且测量的谷数据点1250中的一个或更多个可以用于表征第一IEO蚀刻制程。例如,可以计算在测量的IED曲线1201上的测量的谷数据点1250与参考 曲线1202上的对应谷点之间的第四误差值,并且第四误差值中的一个或更多个可以用于优化和/或表征第一IEO蚀刻制程。另外,对于数据点(1202、1204、1206、1212、1214以及1216)、差值(1222、1224以及1226)以及峰数据点(1232、1234以及1236)可以计算平均值,可以计算阈值,和/或者可以计算限制值。由于IEC蚀刻工序期间工作时的机制,CDDV可以为临界DV并且可以具有修改测量的相关联的DV。当角度变得小于九十度时,SWA可以是增加敏感性的主要修改项。另外,如果中间CD给出了与最终CD的最精确的相关性,则可以使用它。中间CD在简单项中执行最佳,原因是其对上CD测量和下CD测量的变化进行了平均。CD的第二修改项可以为贯穿整个晶圆的以及晶圆到晶圆的BARC厚度变化。如果厚度不均匀,则BARC厚度可以影响CD,原因是在BARC蚀刻期间,光刻胶持续被蚀刻。BARC越薄,可以给出的蚀刻时间越短,并且BARC越厚,可以给出蚀刻时间越长,蚀刻时间越长将导致CD越小。因此,BARC非均匀性可以直接导致中心到边缘的CD变化的增加,在局部以及最终蚀刻期间,将需要为了控制而对该中心到边缘的CD变化进行建模。另外,可以使用处理传感器和IE传感器用于表示预测的等离子体室状态的DV。例如,当在不使用调节晶圆的情况下对批次(晶圆)进行处理时,可以通过漂移影响室状态。有助于室状态前馈DV的变化可以包括事件例如:室清洗、部件更换、化学改变、停机时间、调节晶圆、室暂停、手动调整、晶圆材料改变以及产品密度改变。可以对处理传感器和IE传感器数据进行过滤和/或限定。例如,可以使用消除未统计地表现为同一数目的位点并且可以对剩余位点进行平均以表示晶片的物理范围的盒须算法来过滤测量的DV。可以使用在IEC-MIMO模型优化期间建立的MV中的一个或更多个来定义IEO过程配方用于IEC蚀刻工序,并且可以使用用于MV的新值来调整电流处理配方。非线性优化可以用于对与蚀刻处理相关联的非线性关系和约束进行处理以通过在每次运行之后调整配方来使IEC蚀刻工序的性能最大化。可以将IM数据前馈至一个或更多个优化控制器以计算操纵变量(MV)的值。与每个控制变量(CV)相关联的非线性模型公式可以与每 个CV目标值一起使用。二次目标函数可以利用加权因子来优先考虑目标函数中的每个CV项,并且IEC-MIMO中的优化器可以用于通过使用非线性程序、用MV的约束使目标函数最小化或最大化,来确定蚀刻配方。在一些实例中,可以使用调整的配方处理晶圆中的一个或更多个。例如,调整的配方可以包括来自用于IEC蚀刻工序的优化器的优化的MV。然后,可以获得对于处理晶圆中的一个或更多个的测量数据。例如,可以在晶圆上的一个或更多个位点进行测量。在执行多晶蚀刻(poly-etch)工序之后和/或在执行金属栅蚀刻工序之后,可以使用IM工具测量输出CV。可以对从IEC蚀刻工序获得的数据进行过滤和/或限定。另外,可以计算对于IEC蚀刻工序的处理误差。例如,可以计算对于每个CV的误差(实际输出减去模型输出)。接下来,可以计算反馈数据项用于IEC蚀刻工序,并且误差可以用于使用指数加权移动平均(EWMA)滤波器更新IEC-MIMO模型CV偏移量。然后,可以更新对于IEC蚀刻工序的新模型偏移量,并且可以将这些偏移量值提供到优化的控制器以用于补偿下一运行的干扰。例如,可以使用该偏移量直到新更新被计算,并且可以执行该制程直到处理最终图案化晶圆为止。当使用提前发送的晶圆时,可以在IEC蚀刻工序中的中间点获得IM数据。当需要新和/或附加的测量数据、检查数据和/或评估数据时,可以从晶圆上的一个或更多个位点获得附加的IM数据。例如可以在一个或更多个位点处测量晶圆上的测量结构(例如周期性栅、周期性阵列和/或其他周期性结构)。在一些实施方式中,历史和/或实时数据可以包括用于一个或更多个晶圆的IE图、晶圆相关图、处理相关图、损伤评估图、参考图、测量图、预测图、风险图、检查图、验证图、评估图、颗粒图和/或一个或多个置信图。另外,一些IEO蚀刻制程可以使用晶圆图,晶圆图可以包括一个或更多个适合度(GOF)图、一个或更多个厚度图、一个或更多个栅相关图、一个或更多个临界尺寸(CD)图、一个或更多个CD轮廓图、一个或更多个材料相关图、一个或更多个结构相关图、一个或更多个侧壁角度图、一个或更多个差别宽度图或者其组合。当形成和/或修改晶圆图时,对于整个晶圆该值可以不计算并且/或者不要求,并且晶圆图可以包括用于一个或更多个位点、一个或更多个芯片/裸片、一个或更多个不同区域和/或一个或更多个不同成状区域的数据。例如,处理室可以具有可以影响在晶圆的一定区域中的处理结果的质量的 特定特征。另外,制造者可以允许对于在晶圆的一个或更多个区域中的芯片/裸片的次精确处理和/或评估数据来使产量最大化。当图中的值接近于限制时,置信值可以低于当图中的值未接近于限制时。另外,可以对精确值进行加权用于不同芯片/裸片和/或晶圆的不同区域。例如,可以将较高的置信加权分配至与预先使用的评估位点中的一个或更多个相关联的精确度计算和/或精确度数据。另外,可以使用与一个或更多个处理相关联的处理结果图、测量图、检查图、验证图、评估图、和/或预测图来计算用于晶圆的置信图。例如,可以来自另一个图的值作为加权因子。尽管以上已经详细地描述了本发明的仅仅某些实施方式,但是本领域技术人员将容易理解,在不实质上脱离本发明的新颖性教导和优点的情况下,本实施方式的许多修改是可行的。因此,所有这样的修改意在包括在本发明的范围之内。因而,描述并非意在限制本发明,并且在给定此处的详细水平理解到本实施方式的修改和变化是可行的情况下来描述本发明的构造、操作以及特征。因此,前述的详细描述不意味着或者并非意在以任意方式限制本发明,相反,本发明的范围由所附权利要求限定。
当前第1页1 2 3 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1