用于图案化CD控制的自动前馈和反馈序列的制作方法

文档序号:32945271发布日期:2023-01-14 10:33阅读:67来源:国知局
用于图案化CD控制的自动前馈和反馈序列的制作方法
用于图案化cd控制的自动前馈和反馈序列
技术领域
1.本实施方案涉及半导体设备制造。


背景技术:

2.许多现代半导体制造工艺在等离子体工艺模块中执行,其中衬底在暴露于等离子体时被保持在衬底保持器上。执行这些工艺以生成特征。通过先进的图案化技术以包括用于先进设备的多个蚀刻和沉积工艺步骤可以实现特征尺寸的不断减小。特别是,涉及较大尺寸的多重图案化步骤可用于获得较小的特征。这些先进的图案化技术还增加了衬底上特征的密度。例如,双重图案化将特征密度增加两倍,使得特征密度是原始密度的两倍。
3.多重图案化方案实现了前沿逻辑和存储器设备的持续缩放,并且需要严格的关键尺寸(cd)和对晶片上以及晶片间(from wafer to wafer)的均匀性控制。随着这些应用程序的工艺步骤数量不断增加,最小化可变性变得越来越重要,因为每个额外的步骤都会导致整体变化。特征的关键尺寸通常使用手动试错过程来控制。然而,该过程效率低且耗时,并且不能用于尺寸和/或工艺步骤的工艺内变化。例如,试错过程难以解决输入光刻衬底的关键尺寸的变化,并且难以解决工艺的任何变化。此外,这些试错过程难以解决晶片上特征尺寸的不均匀性。
4.这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
5.本公开的实施方案正是在这种情况下出现的。


技术实现要素:

6.所呈现的实施方案涉及解决在相关技术中发现的一个或多个问题,并且具体地包括对用于在衬底上执行的双重图案化工艺的自动前馈和反馈控制,以管理在多重图案化工艺的每个步骤期间引入的可变性。例如,前馈和/或反馈控制可以用于局部微调,其通过校正在图案化工艺的步骤中引入的可变性和校正输入的图案不均匀性,从而最小化衬底上的变化。下面描述本公开的几个创造性实施方案。
7.本公开的实施方案包括一种用于建立灵敏度系数的方法,该灵敏度系数用于控制与在双重图案化工艺中形成的特征相关联的芯关键尺寸。该方法包括:在第一多个测试晶片上执行系列工艺步骤,所述第一多个测试晶片中的每一个形成多个特征,其中在第一工艺条件下执行所述系列工艺步骤中的第一工艺步骤,其中所述系列工艺步骤包括至少一个工艺步骤。该方法包括:在第二多个测试晶片上执行所述系列工艺步骤,所述第二多个测试晶片中的每一个形成所述多个特征,其中所述第一工艺步骤在第二工艺条件下执行。该方法包括:测量位于所述第一多个测试晶片上的所述多个特征的第一尺寸。该方法包括:测量位于所述第二多个测试晶片上的所述多个特征的第二尺寸。该方法包括:确定所述多个特征的将尺寸变化和工艺条件变化相关联的灵敏度系数。
8.本公开的其他实施方案包括存储计算机程序的非暂时性计算机可读介质,该计算机程序用于执行一种用于建立灵敏度系数的方法,该灵敏度系数用于控制与在双重图案化工艺中形成的特征相关联的芯关键尺寸。该非暂时性计算机可读介质包括用于以下操作的程序指令:在第一多个测试晶片上执行系列工艺步骤,所述第一多个测试晶片中的每一个形成多个特征,其中在第一工艺条件下执行所述系列工艺步骤中的第一工艺步骤,其中所述系列工艺步骤包括至少一个工艺步骤。该非暂时性计算机可读介质包括用于以下操作的程序指令:在第二多个测试晶片上执行所述系列工艺步骤,所述第二多个测试晶片中的每一个形成所述多个特征,其中所述第一工艺步骤在第二工艺条件下执行。该非暂时性计算机可读介质包括用于以下操作的程序指令:测量位于所述第一多个测试晶片上的所述多个特征的第一尺寸。该非暂时性计算机可读介质包括用于以下操作的程序指令:测量位于所述第二多个测试晶片上的所述多个特征的第二尺寸。该非暂时性计算机可读介质包括用于以下操作的程序指令:确定所述多个特征的将尺寸变化和工艺条件变化相关联的灵敏度系数。
9.本公开的其他实施方案公开了一种计算机系统,其包括处理器和存储器,该存储器耦合到该处理器并且其中存储有指令,该指令如果由该计算机系统执行,则使该计算机系统执行一种用于建立灵敏度系数的方法,该灵敏度系数用于控制与在双重图案化工艺中形成的特征相关联的芯关键尺寸。该方法包括:在第一多个测试晶片上执行系列工艺步骤,所述第一多个测试晶片中的每一个形成多个特征,其中在第一工艺条件下执行所述系列工艺步骤中的第一工艺步骤,其中所述系列工艺步骤包括至少一个工艺步骤。该方法包括:在第二多个测试晶片上执行所述系列工艺步骤,所述第二多个测试晶片中的每一个形成所述多个特征,其中所述第一工艺步骤在第二工艺条件下执行。该方法包括:测量位于所述第一多个测试晶片上的所述多个特征的第一尺寸。该方法包括:测量位于所述第二多个测试晶片上的所述多个特征的第二尺寸。该方法包括:确定所述多个特征的将尺寸变化和工艺条件变化相关联的灵敏度系数。
10.本公开的其他实施方案包括一种用于执行反馈以控制与在双重图案化工艺中形成的特征相关联的芯关键尺寸的方法。该方法包括:在晶片上执行系列工艺步骤以获得多个特征,其中工艺步骤是在工艺条件下执行的。该方法包括:在执行所述系列工艺步骤之后测量所述多个特征的尺寸。该方法包括:确定所述多个特征的所测量的所述尺寸与目标尺寸之间的差异。该方法包括:基于所述差异和将尺寸变化和工艺条件变化相关联的所述多个特征的灵敏度系数来修正工艺步骤的工艺条件。
11.本公开的其他实施方案包括存储计算机程序的非暂时性计算机可读介质,该计算机程序用于执行一种用于执行反馈以控制与在双重图案化工艺中形成的特征相关联的芯关键尺寸的方法。该非暂时性计算机可读介质包括用于以下操作的程序指令:在晶片上执行系列工艺步骤以获得多个特征,其中工艺步骤是在工艺条件下执行的。该非暂时性计算机可读介质包括用于以下操作的程序指令:在执行所述系列工艺步骤之后测量所述多个特征的尺寸。该非暂时性计算机可读介质包括用于以下操作的程序指令:确定所述多个特征的所测量的所述尺寸与目标尺寸之间的差异。该非暂时性计算机可读介质包括用于以下操作的程序指令:基于所述差异和将尺寸变化和工艺条件变化相关联的所述多个特征的灵敏度系数来修正工艺步骤的工艺条件。
12.本公开的其他实施方案公开了一种计算机系统,其包括处理器和存储器,该存储器耦合到该处理器并且其中存储有指令,该指令如果由该计算机系统执行,则使该计算机系统执行一种用于执行反馈以控制与在双重图案化工艺中形成的特征相关联的芯关键尺寸的方法。该方法包括:在晶片上执行系列工艺步骤以获得多个特征,其中工艺步骤是在工艺条件下执行的。该方法包括:在执行所述系列工艺步骤之后测量所述多个特征的尺寸。该方法包括:确定所述多个特征的所测量的所述尺寸与目标尺寸之间的差异。该方法包括:基于所述差异和将尺寸变化和工艺条件变化相关联的所述多个特征的灵敏度系数来修正工艺步骤的工艺条件。
13.本公开的其他实施方案包括一种用于执行前馈以控制与在双重图案化工艺中形成的特征相关联的芯关键尺寸的方法。该方法包括:测量晶片上的心轴的输入adi尺寸。该方法包括:确定所述心轴的输入adi尺寸和期望adi尺寸之间的差异。该方法包括:基于所述差异和所述蚀刻工艺步骤的蚀刻速率修正执行蚀刻工艺步骤的时间,以基于所述输入adi尺寸实现芯特征的期望adt尺寸,所述芯特征在执行自对准双重图案化(sadp)工艺的第一图案化工艺时形成。该方法包括:接收所述sadp工艺的第二图案化工艺的变化。该方法包括:基于所述第二图案化工艺中的所述变化确定所述芯特征上的氧化物层的修正的asd尺寸。该方法包括:基于所述ald工艺的沉积速率和所述芯特征的所述期望adt尺寸,确定在执行原子层沉积(ald)工艺以实现所述修正的asd尺寸时的沉积循环次数。
14.本公开的其他实施方案包括存储计算机程序的非暂时性计算机可读介质,该计算机程序用于执行一种用于执行前馈以控制与在双重图案化工艺中形成的特征相关联的芯关键尺寸的方法。该非暂时性计算机可读介质包括用于以下操作的程序指令:测量晶片上的心轴的输入adi尺寸。该非暂时性计算机可读介质包括用于以下操作的程序指令:确定所述心轴的输入adi尺寸和期望adi尺寸之间的差异。该非暂时性计算机可读介质包括用于以下操作的程序指令:基于所述差异和所述蚀刻工艺步骤的蚀刻速率修正执行蚀刻工艺步骤的时间,以基于所述输入adi尺寸实现芯特征的期望adt尺寸,所述芯特征在执行自对准双重图案化(sadp)工艺的第一图案化工艺时形成。该非暂时性计算机可读介质包括用于以下操作的程序指令:接收所述sadp工艺的第二图案化工艺的变化。该非暂时性计算机可读介质包括用于以下操作的程序指令:基于所述第二图案化工艺中的所述变化确定所述芯特征上的氧化物层的修正的asd尺寸。该非暂时性计算机可读介质包括用于以下操作的程序指令:基于所述ald工艺的沉积速率和所述芯特征的所述期望adt尺寸,确定在执行原子层沉积(ald)工艺以实现所述修正的asd尺寸时的沉积循环次数。
15.本公开的其他实施方案公开了一种计算机系统,其包括处理器和存储器,该存储器耦合到该处理器并且其中存储有指令,该指令如果由该计算机系统执行,则使该计算机系统执行一种用于执行前馈以控制与在双重图案化工艺中形成的特征相关联的芯关键尺寸的方法。该方法包括:测量晶片上的心轴的输入adi尺寸。该方法包括:确定所述心轴的输入adi尺寸和期望adi尺寸之间的差异。该方法包括:基于所述差异和所述蚀刻工艺步骤的蚀刻速率修正执行蚀刻工艺步骤的时间,以基于所述输入adi尺寸实现芯特征的期望adt尺寸,所述芯特征在执行自对准双重图案化(sadp)工艺的第一图案化工艺时形成。该方法包括:接收所述sadp工艺的第二图案化工艺的变化。该方法包括:基于所述第二图案化工艺中的所述变化确定所述芯特征上的氧化物层的修正的asd尺寸。该方法包括:基于所述ald工
艺的沉积速率和所述芯特征的所述期望adt尺寸,确定在执行原子层沉积(ald)工艺以实现所述修正的asd尺寸时的沉积循环次数。
16.本领域技术人员在阅读整个说明书和权利要求书后将理解这些和其他优点。
附图说明
17.可以通过参考以下结合附图进行的描述来最好地理解实施方案。
18.图1a示出了根据本公开的一个实施方案的用于处理晶片,例如以在其上形成膜的衬底处理系统。
19.图1b示出了根据本公开的一个实施方案的包括多个加热器区域的静电卡盘,其中每个加热器区域是单独可控的以提供热量。
20.图2示出了根据一个实施方案的多站处理工具和/或处理模块的俯视图,其中提供了四个处理站。
21.图3示出了根据一个实施方案的具有入站装载锁和出站装载锁的多站处理工具的实施方案的示意图。
22.图4a-4h示出了根据本公开的一个实施方案的在执行自对准双重图案化(sadp)工艺时穿过进行多个操作和/或工艺的晶片的一部分的竖直截面图。
23.图5是根据本公开的一个实施方案示出用于生成数学模型的方法的流程图,该数学模型包括一个或多个灵敏度系数,其用于对在衬底上执行的双重图案化工艺执行自动前馈和反馈控制以管理在多重图案化工艺的每个步骤期间引入的可变性。
24.图6a是说明根据本公开的一个实施方案的用于对在衬底上执行的双重图案化工艺进行自动反馈控制以管理在多重图案化工艺的一个或多个步骤期间引入的可变性的方法的流程图。
25.图6b示出了在晶片上执行sadp工艺之后多个最终特征的尺寸分布(例如线cd)的不均匀性,例如图4a-4h中所示的那些。
26.图7是示出根据本公开的一个实施方案的用于对在衬底上执行的双重图案化工艺进行自动前馈控制以管理在多重图案化工艺的一个或多个步骤期间引入的可变性的方法的流程图。
27.图8a是根据本公开的实施方案示出在执行多重图案化工艺时用于在esc和/或基座上执行反馈和/或前馈控制的工作流程的图800a。
28.图8b是根据本公开的一个实施方案示出在两种不同稳态温度条件下的蚀刻和/或修整工艺的温度曲线演变的图800b,其用于确定在执行对多重图案化工艺的反馈控制时使用的修整对温度的灵敏度。
29.图8c是根据本公开的一个实施方案示出在两种不同时间约束下操作的稳态温度条件下的蚀刻和/或修整工艺的温度曲线演变的图800c,其用于确定在执行多重图案化工艺的反馈控制时使用的修整对时间的灵敏度。
30.图8d是根据本公开的一个实施方案示出在两种不同的稳态温度条件下执行的沉积工艺的沉积速率的图800d,其用于确定在执行对多重图案化工艺的反馈控制时使用的沉积对温度的灵敏度。
31.图9显示了根据本公开的一个实施方案的用于控制上述系统的控制模块。
具体实施方式
32.尽管出于说明的目的,以下详细描述包含许多具体细节,但是本领域普通技术人员应理解,以下细节的许多变化和改变都在本公开的范围内。因此,在不损失对本说明书所附权利要求的一般性且不对其施加限制的情况下,阐述了下文描述的本公开的方面。
33.一般而言,本公开的各种实施方案描述了为在衬底上执行的双重图案化工艺提供自动前馈和/或反馈控制以管理在多重图案化工艺的每个步骤期间引入的可变性的系统和方法。此外,前馈和/或反馈控制包括用于执行控制序列自动化的数学框架。此外,本公开的实施方案包括用于实现数学框架的瞬态求解器。例如,代替手动执行前馈和反馈控制,自动前馈和/或反馈控制可在本公开的实施方案中用于局部微调,其通过校正在图案化工艺的步骤中引入的可变性并且校正输入的图案不均匀性来最小化衬底上的变化。例如,自动前馈和/或反馈控制对于双重图案化工艺很重要,以用于解决输入的光-cd(关键尺寸)的任何变化,或将来(down the line)干法蚀刻的任何变化。因此,自动前馈和/或反馈控制改进了涉及多重图案化工艺的前步骤或后步骤中的任何更改的绿灯时间到绿灯时间(green-to-green time)。由于自动化,前馈和/或反馈控制可用于最小化cd和cdnu(关键尺寸非均匀性)的任何变化,并节省重复的额外成本,且在执行图案化工艺时灵活地进行工艺更改。
34.实施自动化和/或反馈控制在整个说明书中使用双重图案化工艺进行描述,但应理解,本文描述的用于自动化和/或反馈控制的技术可用于任何多重图案化工艺,例如如四重图案化工艺等。
35.本公开的实施方案涉及等离子体处理模块,例如在等离子体蚀刻室或模块、沉积室或模块、旋转冲洗室或模块、金属电镀室或模块、清洁室或模块、斜边蚀刻室或模块、物理气相沉积(pvd)室或模块、化学气相沉积(cvd)室或模块、原子层沉积(ald)室或模块、等离子体增强化学气相沉积(pecvd)室或模块、原子层蚀刻(ale)室或模块、离子注入室或模块、轨道室或模块、以及可能在半导体晶片的制造和/或制备过程中关联或使用的以包括例如电镀、电蚀刻、电抛光、电化学机械抛光、沉积、湿法沉积和穿硅通孔(tsv)工艺等工艺的任何其他半导体处理系统中使用的等离子体处理模块。此外,本公开的实施方案不限于本文提供的示例,并且可以在采用不同配置、几何形状和等离子体产生技术(例如,电感耦合系统、电容耦合系统、电子回旋共振系统、微波系统等)的不同等离子体处理系统中实践。等离子处理系统和等离子处理模块的示例在共同拥有的美国专利no.8,862,855、no.8,847,495、no.8,485,128,以及美国专利申请序列no.15/369,110中公开,所有这些都通过引用的方式整体并入。本公开的实施方案的等离子体处理模块包括配置有或没有静电卡盘(esc)的基座,静电卡盘(esc)被配置成用于支撑衬底,其中基座和/或esc可以包括一个或多个加热器区域,其用于将热量传递到正执行工艺时的衬底。一般而言,加热组件可包括喷头、esc、支撑卡盘、基座、室部件或可以在用于处理衬底的反应器、室、处理模块等中实现的其他结构或部件。
36.在整个说明书中,如本文所使用的术语“衬底”是指在本公开的实施方案中的半导体晶片。然而,应当理解,在其他实施方案中,术语衬底可以指由蓝宝石、gan、gaas或sic或其他衬底材料形成的衬底,并且可以包括玻璃面板/衬底、金属箔、金属片、聚合物材料或类似物。此外,在多种实施方案中,如本文所指的衬底可以在形式、形状和/或尺寸上变化。例如,在一些实施方案中,如本文所指的衬底可以对应于200mm(毫米)的半导体晶片、300mm的
半导体晶片或450mm的半导体晶片。此外,在一些实施方案中,本文所指的衬底可以对应于非圆形衬底,例如用于平板显示器的矩形衬底等,并且可以包括其他形状。
37.借助对各种实施方案的上述一般理解,现在将参考各种附图来描述实施方案的示例性细节。一个或多个图中类似编号的元件和/或部件意指通常具有相同的配置和/或功能。此外,附图可能不是按比例绘制的,而是旨在说明和强调新颖的构思。显然,可以在没有这些具体细节中的一些或全部的情况下实践所呈现的实施方案。在其他情况下,没有详细描述公知的工艺操作,以免不必要地混淆本实施方案。
38.图1a示出了反应器系统100,其可用于在衬底上沉积膜,例如在多重图案化工艺中形成的那些,该多重图案化工艺包括湿法(例如,化学)和干法(例如,等离子体)蚀刻和沉积工艺,例如原子层沉积(ald)工艺。这些反应器可以使用一个或多个加热器,并且在该示例反应器中可以使用公共终端配置来控制温度以实现均匀性或自限定设置。更具体地说,图1a示出了用于处理晶片101的衬底处理系统100。该系统包括具有下室部分102b和上室部分102a的室102。中心柱160被配置为支撑基座140,在一实施方案中,基座140是通电电极。基座140通过匹配网络106电耦合到rf电源104。rf电源104由控制模块110例如控制器控制。控制模块110被配置为通过执行工艺输入和控制108来操作衬底处理系统100。工艺输入和控制108可以包括工艺配方,例如功率电平、时序参数、工艺气体、晶片101的机械运动、等等,以便在晶片101上沉积或形成膜。
39.本公开的实施方案包括具有或不具有静电卡盘(esc)145的基座140,该静电卡盘(esc)145被配置为在暴露于其中产生等离子体的等离子体处理环境时保持衬底101。基座140和/或esc145可以包括一个或多个加热器区域,当在反应器系统100内执行处理操作时,这些加热器区域是可单独控制的,这将在图1b中进一步描述。
40.中心柱160还包括升降销(未示出),每个升降销由通过升降销控制器122控制的相应的升降销驱动环120驱动。升降销用于将晶片101从基座140升起以使得末端执行器能拾取晶片并在被末端执行器放置之后降低晶片101。衬底处理系统100进一步包括气体供应歧管112,其连接至工艺气体114,例如来自设施的气体化学品供应源。根据正在执行的处理,控制模块110控制工艺气体114经由气体供应歧管112的输送、室压力、从一个或多个rf电源产生rf功率、排放泵等。选定的气体然后流入喷头150并分布在喷头150面对晶片101的面和搁置在基座140上的晶片101之间限定的空间体积中。在ald工艺中,气体可以是选择用于吸附或与吸附的反应物发生反应的反应物。
41.此外,气体可以预混合或不预混合。可以采用适当的阀控和质量流量控制机制来确保在工艺的沉积和等离子体处理阶段输送正确的气体。工艺气体通过出口排出室。真空泵(例如,一级或二级机械干泵和/或涡轮分子泵)抽出工艺气体并通过闭环控制的流量限制装置(例如节流阀或摆阀)来在反应器内保持适当的低压。
42.还显示了围绕基座140的外区域的承载环175。承载环175配置为安置于承载环支撑区域上方,所述承载环支撑区域位于基座140中心中的晶片支撑区域往下的台阶。承载环包括其圆盘结构的外边缘侧(例如,外半径),以及其圆盘结构的晶片边缘侧(例如,内半径),其最接近晶片101所在的位置。承载环的晶片边缘侧包括多个接触支撑结构,其配置为当通过蜘蛛式叉180举起承载环175时举起晶片101。因此,承载环175与晶片101一起举起并且可旋转至例如多站系统中的另一站。在其他实施方案中,室为单站室。
43.此外,加热器温度控制器105被配置为控制配置有或没有esc145的基座140的一个或多个加热器区域的温度,其中加热器区域可以位于基座和/或esc内。加热器区域用于在衬底处理期间实现对基座140(无论有无esc145)的表面温度的精确控制。可控的多个加热器区域提供了调整基座140(无论有无esc145)的温度分布(例如,径向分布、方位分布等)的能力,以补偿在多重图案化工艺步骤中引入的可变性。例如,可变性可能由不同的环境条件(例如,热损失条件、在不同工艺步骤之间变化的传热条件等)引起。可以理解的是,控制配置有或没有esc145的基座140的一个或多个加热器区域的温度可以由加热器控制器105和控制器110执行,每一者单独或组合使用。
44.图1b示出了根据本公开的一个实施方案的被配置为包括多个加热器区域的多区域加热系统的基座140a,其中每个加热器区域是单独可控的以提供热量。基座140a的顶表面可以包括被配置为在处理期间支撑衬底101的区域。在另一个实施方案中,加热器区域可以配置在可以是基座140的最顶层的esc内,其中esc可以包括基板、设置在基板之上的结合层、以及设置在结合层之上的陶瓷层。为了方便和清楚起见,以下描述了基座内的加热器区域,但应当理解,在多种实施方案中,加热器区域可以位于基座和/或esc内。
45.在一个实施方案,出于说明的目的,基座140a(配置有或没有esc)中的每个加热器区域可以由通过加热器控制器105和/或控制器110控制的一个或多个加热器迹线(例如,电阻元件)加热。例如,每个加热器区域可以包括电耦合到控制器的加热器迹线(例如,电阻元件),该控制器用于控制供应给相应加热器迹线的功率(例如,通过相应加热器电源供应的功率——未示出)。每个加热器迹线可以集成在或嵌入在相应的加热器区域中,并且被配置为向相应的加热器区域提供热量。例如,可以在基座140a和/或esc的层之一内制造和形成加热器迹线,使得加热器迹线设置在层内。因此,由加热器迹线产生的热量可以传递到基座140a和/或esc的层,并且进一步传递到配置了esc或没有配置esc的基座140a的表面。
46.应当理解,图1b所示的配置了esc或没有配置esc的基座140a的多区域加热系统只是一个示例,并且可以支持各种布局配置。例如,加热器区域的布局配置(例如,网格图案)可以是对称的、不对称的、在整个网格图案上均匀分布的、在整个网格图案上非均匀分布的、具有配合在配置了esc或没有配置esc的基座140a的轮廓内的各种形状等等。例如,可以提供具有一个或多个加热器区域的加热系统,例如包括内区域、中间区域和外区域的三加热器区域系统。加热器区域的数量可能因系统而异,例如包括数量在1到5之间的加热器区域,或包括数量介于5到10、或5到15之间的加热器区域、或超过5个的加热器区域、或超过10个的加热器区域或超过20个的加热器区域,或超过50个的加热器区域,或超过75个的加热器区域,或超过100个的加热器区域,或超过125个的加热器区域,或超过150个的加热器区域。在还有的其他示例中,加热器区域可以分层分组,其中成组的多个加热器区域位于一个水平层,并且至少一个其他层包括另一成组的多个加热器区域。在其他实施方案中,加热器区域可以以各种配置定位,例如饼形、圆形定位的加热圈、呈网格的各个电阻元件、之字形电阻元件、单个电阻元件等。作为实施方案的说明,一些布局配置可以为多个区域提供电阻元件的连续圆环。
47.纯粹为了说明,配置了esc或没有配置esc的基座140a包括十个加热器区域1至10。加热器区域可以由径向和/或方位角参数限定。例如,基座140a可以由一个或多个半径划分,所述半径包括半径181、半径182、半径183和半径184。此外,基座140a可以由一个或多个
方位角划分,所述方位角包括方位角191-198。如图1b所示,区域1是径向区域,并且位于半径181处或小于半径181的半径处。区域2也是径向区域,并且位于半径181和182之间。区域3-6位于由半径182和183限定的径向区域内,每个在不同的方位角之间。例如,在上面限定的径向区域内,区域3位于方位角191和192之间,区域4位于方位角192和193之间,区域5位于方位角193和195之间,区域6位于方位角191和194之间。此外,区域7-10位于由半径183和半径184限定的径向区域内,每个区域在不同的方位角之间。例如,在上面限定的径向区域内,区域7位于方位角195和198之间,区域8位于方位角197和198之间,区域9位于方位角196和197之间,而区域10位于方位角195和196之间。
48.在一个实施方案中,多区域加热系统设置在配置了esc或没有配置esc的基座140a的一层中,其中这些区域以一个或多个网格图案排列。网格中的每个元件都可以单独控制以提供热量。在具有或不具有esc的基座140a的一层中提供的多区域加热系统可以被配置为提供对整个基座140a上的热量的微调。在另一实施方案中,加热系统可以分布在具有或不具有esc的基座140a的多个层上。例如,一层可以提供对提供给基座140a的热量的微调。可以通过上述用于多区域加热系统的加热元件和/或加热区域的网格图案来提供对热量的微调。加热系统可以包括一个或多个加热区域的另一层,所述加热区域被配置为提供对施加到配置了esc或没有配置esc的基座140a的热量的粗调。例如,粗调可以通过一个或多个加热器区域环来实施,所述加热器区域可以设置在配置了esc或没有配置esc的基座140a上,所述基座140a例如双加热器区域基座140a(例如,两个加热器区域)、三加热器区域基座140a(例如,三个加热器区域)或四加热器区域基座140a(例如,四个加热器区域)等等。
49.图2示出了多站处理工具或处理模块的俯视图,其中提供四个处理站。该俯视图是下室部分102b的视图(例如,为了说明而移除顶部室部分),其中四个站通过蜘蛛式叉226访问。每一蜘蛛式叉、或叉包括第一臂和第二臂,每一臂环绕基座140的各侧的一部分进行定位。在该视图中,用虚线画出蜘蛛式叉226,以表示它们是在承载环200下方。使用啮合和旋转机构220,蜘蛛式叉226配置为同时从多个站(也就是说,从承载环200的下表面)升高和举起承载环200,且接着使至少一或更多站旋转,然后将承载环200(在此至少一个承载环支撑晶片101)降低至下一位置,以便可在相应的晶片101上发生进一步的等离子体加工、处理、和/或膜沉积。
50.图3示出了具有入站装载锁302和出站装载锁304的多站处理工具或处理模块110的实施方案的示意图。在大气压下,机械手131被配置为经由大气端口310将衬底从经过晶片盒308装载的卡匣移动进入入站装载锁302。入站装载锁302耦合至真空源(未示出),以致当大气端口310关闭时,可将入站装载锁302抽空。入站装载锁302还包括与处理室102b对接的室运送端口316。因此,当室运送端口316打开时,另一机械手(未示出,例如真空传送模块190的机械手312)可将衬底从入站装载锁302移动至第一处理站的基座140以进行处理。
51.所描述的处理室102b包含四个处理站,在图3所示的实施方案中编号为1至4。在一些实施方案中,处理室102b可配置成维持低压环境,使得可使用承载环200在处理站之间传送衬底,而不会经历真空破坏和/或空气暴露。图3中所描述的每一处理站都包括处理站衬底保持器(对于站1,以318显示)和工艺气体输送管线入口。
52.图3还描述了用于在处理室102b内传送衬底的蜘蛛式叉226。蜘蛛式叉226旋转并使晶片能够从一站传送至另一站。该传送通过以下方式进行:使蜘蛛式叉226能够从外底表
面举起承载环200(从而举起晶片),并将晶片和承载器一起旋转至下一站。在一配置中,蜘蛛式叉226由陶瓷材料制成以在处理期间承受高水平的热量。
53.在半导体晶片(以下称为“晶片”)制造中,芯材料层可以沉积在晶片上并被图案化以用作在晶片上/内处理一种或多种下伏材料的掩模。在多种实施方案中,晶片的形式、形状和/或尺寸可以不同。例如,在一些实施方案中,本文所指的晶片可对应于直径为200mm(毫米)的半导体晶片、直径为300mm的半导体晶片或直径为450mm的半导体晶片。此外,在一些实施方案中,本文所指的晶片可以具有非圆形形状,例如用于平板显示器的矩形衬底等,以及其他形状。
54.芯材料层在光刻工艺中暴露于透射穿过光栅(reticle)并且到达晶片上的光的图案,使得芯材料可以被显影成指定的特征图案,其中一些芯特征具有关键尺寸。本文中的关键尺寸是指在特定制造工艺中要控制的最小尺寸。芯特征的关键尺寸由用于图案化芯材料层的光刻工艺的能力控制。使用标准光刻工艺(例如,使用基于193纳米光的光刻)可以将芯特征的关键尺寸减小多少是有限度的。试图创建具有太小的关键尺寸的芯特征可能会导致在使用标准光刻工艺对芯材料层进行图案化时出现问题。因此,标准的光刻工艺有效地限制了芯特征的关键尺寸可以制成多小。然而,一旦以光刻工艺可以准确提供的最小关键尺寸形成芯特征,就可以使用该芯特征通过执行一系列蚀刻工艺以创建具有基于光刻图案化芯特征的特征的掩模来制造更小的关键尺寸特征。这种蚀刻工艺包括自对准多重图案化(samp)工艺,并且可以包括自对准双重图案化(sadp)工艺和自对准四重图案化(saqp)工艺等等。
55.图4a-4h显示了根据一些实施方案的穿过在sadp工艺中经历多个操作的晶片101的一部分的竖直截面图。图4a-4c显示了sadp工艺中的第一图案化,而图4d-4h显示了sadp工艺中的第二图案化,其中每个图案化工艺可以在不同的室中进行。
56.图4a显示了穿过晶片101的一部分的竖直横截面图,其中执行光刻以形成一个或多个光致抗蚀剂(pr)心轴403。特别地,沉积要蚀刻的目标材料层405在晶片101上,即在晶片101的下伏材料407上。可以在下伏材料107上形成一个或多个芯材料层,例如芯1层401和芯2层402。
57.可以将光致抗蚀剂(pr)层施加到芯2层402,例如通过旋涂工艺施加。光致抗蚀剂层通过光掩模暴露于光(例如紫外光)或其他暴露辐射,光掩模使一些光致抗蚀剂暴露于光。执行光刻以便可以蚀刻未受保护的光致抗蚀剂。如图所示,显影光致抗蚀剂并去除暴露的光致抗蚀剂,从而露出形成在芯1层401上的pr心轴403的图案。在多种实施方案中,限定特征的pr心轴403可以由光致抗蚀剂材料或碳材料形成,例如由旋涂碳、化学气相沉积(cvd)碳、等离子体化学气相沉积(pecvd)碳或可流动碳混合物等形成。pr心轴403各自具有关键尺寸adi,如在基本平行于晶片101的底表面412的水平方向上测量的。在一些上下文中,图案化的pr心轴403可以被称为芯心轴或输入的pr心轴,将其引入室以进行蚀刻和沉积工艺。在一些实施方案中,pr心轴403的关键尺寸adi可以制造成光刻工艺可以准确提供的最小尺寸。
58.图4b示出了使用pr心轴403的图案将掩模转移到下伏的芯1层401。具体地,可以执行蚀刻,使得芯1层401的未被pr心轴403保护的区域可以被各向同性地蚀刻。蚀刻可以使用湿化学物质(例如,湿法蚀刻工艺中的酸)来执行。在蚀刻工艺期间,芯1层401也可以被横向
修整以显示芯1特征401a,其具有关键尺寸adt,如在基本平行于晶片101的底表面412的水平方向上测量的。芯1特征401a的关键尺寸adt可以等于或小于pr心轴403的关键尺寸adi(即,adt=adi或adt<adi)。当蚀刻完成时,可以剥离pr心轴403中的光致抗蚀剂,从而以期望的掩模图案露出芯1特征401a。
59.在图4c中,sadp工艺中的第一图案化继续,其中在芯1特征401上方共形沉积掩模材料(例如,氧化物材料)或间隔物1dep 415。在一些实施方案中,使用原子层沉积工艺来沉积掩模材料。可以选择性地蚀刻掩模材料,使得氧化物层作为间隔物1dep 415与芯1特征401a共形,并且可以去除芯1特征401之间的掩模材料,其中具有一些间距以露出芯2层402。共形间隔物1dep 415由关键尺寸asd限定,关键尺寸asd如在基本平行于晶片101的底表面412的水平方向上测量的。
60.在图4d中,第一图案化工艺继续(例如,在第二图案化工艺中),进行等离子体蚀刻工艺,其中选择性地蚀刻间隔物1dep 415的顶部以露出随后蚀刻的芯1特征401a(例如,芯1层401拔出)。在一些实施方案中,等离子体蚀刻工艺在单独的室中执行。用于去除掩模材料415的顶部和芯1特征401a的等离子体蚀刻工艺可以是各向同性或各向异性蚀刻工艺。如图所示,间隔物1dep 415的侧间隔物415a保留在晶片101上,并用作掩模以将特征等离子蚀刻到芯2层402中。在一些实施方案中,侧间隔物415a是方形间隔物。
61.图4e示出了将形成有侧间隔物415a的图案(由芯1层401的材料形成)的掩模转移到下伏的芯2层402。具体地,可以执行蚀刻,使得芯2层402不受侧间隔物415a保护的区域可以被各向同性地蚀刻。此外,芯2层可以在蚀刻工艺期间被横向修整。此外,也可以选择性地蚀刻侧间隔物415a。可以(例如,在干法等离子体环境中)使用干法蚀刻工艺以各向异性方式来执行蚀刻(例如,自上而下蚀刻)。如图所示,可以修整的芯2特征402a保留在晶片101上。
62.在图4f中,sadp工艺中的第二图案化继续,在芯2特征402a上方共形沉积间隔物2dep 425(例如,氧化物材料)。在一些实施方案中,使用原子层沉积工艺来沉积氧化物材料沉积层。可以选择性地蚀刻沉积层,使得氧化物层作为间隔物2dep 425与芯2特征402a共形。
63.在图4g中,第二图案化工艺继续(例如,在第二图案化工艺中),进行等离子体蚀刻工艺,其中选择性地蚀刻间隔物2dep 425的顶部以露出芯2特征402a,其也可以被蚀刻和修整(例如,芯2拔出)。用于去除间隔物2dep 425的顶部和芯2特征402a的等离子体蚀刻工艺可以是限定为去除材料的各向同性或各向异性蚀刻工艺。如图所示,间隔物2dep 425的侧间隔物425a保留在晶片101上,并用作掩模以将特征等离子蚀刻到目标材料405中。
64.图4h示出了在进行最终等离子体蚀刻工艺以形成最终特征405a之后穿过晶片101的部分的竖直截面图,并且示出了由侧间隔物425a的图案(由芯2层402的材料形成)形成的掩模转移到下面的目标材料405。具体地,可以执行蚀刻,使得可以各向同性地蚀刻目标材料405的未被侧间隔物425a保护的区域。此外,可以在蚀刻工艺期间横向修整目标材料405。此外,也可以选择性地蚀刻侧间隔物425a。可以(例如,在干法等离子体环境中)使用干法蚀刻工艺以各向同性或各向异性方式执行蚀刻(例如,自上向下蚀刻)。如图所示,最终特征405a保留在晶片101上。
65.最终特征405a的关键尺寸可以由在基本平行于晶片101的底表面412的水平方向
上测量的线宽或“线cd”限定。最终特征405a之间的间距取决于多个因素。例如,不同最终特征405a之间的间距变化可能取决于芯1特征401a、芯2特征402a、侧间隔物415a和侧间隔物425a的形成。可能期望最终特征405a之间的间距是均匀的。还可能期望最终特征405a的线宽或线cd在整个晶片101上是均匀的。在整个晶片上的最终特征405a的线cd之间的变化被称为关键尺寸非均匀性(cdnu)。期望将整个晶片101上的cdnu最小化。cdnu可能导致形成在晶片101上的一些管芯的设备故障,和/或导致形成在相同晶片或不同晶片上的不同管芯的设备性能变化。本公开的实施方案提供对双重图案化工艺执行自动前馈和/或反馈控制以管理引入的可变性(例如,最小化cdnu)。
66.图5是根据本公开的一个实施方案示出用于生成数学模型的方法的流程图,该数学模型包括一个或多个灵敏度系数,用于对在衬底上执行的多重图案化工艺执行自动前馈和/或反馈控制,以管理在多重图案化工艺工艺的每个步骤期间引入的可变性。例如,该数学模型可用于通过确定可能由工艺可变性引起的多重图案化工艺的输出变化(例如,晶片上最终特征的尺寸)来进行反馈控制。在另一个示例中,数学模型可通过以下方式用于前馈控制:调整工艺步骤的输入变量的变化(例如,输入pr心轴尺寸相对于期望尺寸的变化),同时执行多重图案化工艺,以便输出(例如,晶片上最终特征的尺寸)实现目标。
67.数学模型包括提供特征尺寸(例如,最终特征、修整、沉积的尺寸)对温度和时间的灵敏度的一个或多个灵敏度系数。这些灵敏度系数可以通过经验测试来确定,例如通过对工艺变化或干扰的测量来确定。
68.在510,该方法包括在第一多个测试晶片上执行系列工艺步骤,其中第一多个测试晶片中的每一个形成多个特征。测试晶片可以是覆盖晶片和/或拓扑晶片(例如,具有特征)。例如,系列工艺步骤可以包括在对晶片执行的多重图案化工艺(例如,sadp工艺)中,并且包括至少一个工艺步骤(例如,sadp工艺的第一图案化)。在第一工艺条件下执行系列工艺步骤中的第一工艺步骤。在520,该方法包括在第二多个测试晶片上执行系列工艺步骤,其中第二多个测试晶片中的每一个形成多个特征。更具体地,第一工艺步骤在第二工艺条件下进行。
69.以这种方式,在系列工艺步骤内的中间位置处或在执行系列工艺步骤结束时所确定(例如,测量)的第一和第二多个测试晶片之间的变化可用于生成一个或多个灵敏度系数,例如,以建立数学模型。特别地,在530,该方法包括测量位于第一多个测试晶片上的多个特征的第一尺寸。此外,在540,该方法包括测量位于第二多个测试晶片上的多个特征的第二尺寸。对第一或第二尺寸的测量可以通过测量相应的多个测试晶片上的多个特征中的每一个以确定多个尺寸来完成。多个尺寸的平均值成为第一尺寸或第二尺寸。可以在中间点或在系列工艺步骤结束时测量多个特征。
70.在550,该方法包括确定将尺寸变化和工艺条件变化相关联的多个特征的灵敏度系数。在一个实施方案中,灵敏度系数基于第一工艺条件和第二工艺条件之间的差异,并且基于第一尺寸和第二尺寸之间的差异。
71.在一个实施方案中,第一工艺条件是基座和/或基座的esc的第一温度,其中基座被配置用于晶片支撑。第一工艺步骤可以是蚀刻工艺步骤,其中蚀刻工艺可以包括修整工艺。在这种情况下,第二工艺条件是基座和/或esc的第二温度。鉴于上述情况,灵敏度系数可以是将多个特征的尺寸变化和esc和/或基座的温度变化相关联的修整灵敏度系数。在一
个实施方案中,灵敏度系数可以指示蚀刻和/或修整工艺(作为第一工艺步骤)对温度的灵敏度,其被转换为从在多重图案化工艺结束时形成的最终特征确定的尺寸。在另一个实施方案中,尺寸由在多重图案化工艺期间形成的中间特征确定。
72.在另一实施方案中,第一工艺条件是用于执行作为第一工艺步骤的蚀刻工艺步骤的第一时间段,其中蚀刻工艺可以包括修整工艺。第二工艺条件是用于执行蚀刻和/或修整工艺步骤的第二时间段。鉴于上述情况,灵敏度系数可以是将多个特征的尺寸变化和用于执行蚀刻和/或修整工艺步骤的时间变化相关联的修整灵敏度系数。在一个实施方案中,灵敏度系数可以指示蚀刻和/或修整工艺(作为第一工艺步骤)对时间的灵敏度,其被转换为从在多重图案化工艺结束时形成的最终特征确定的尺寸。在另一个实施方案中,尺寸由在多重图案化工艺期间形成的中间特征确定。
73.在另一实施方案中,第一工艺条件是配置用于晶片支撑的esc和/或基座的第一温度。第一工艺步骤可以是沉积步骤。第二工艺条件是esc和/或基座的第二温度。鉴于上述情况,灵敏度系数可以是将多个特征的尺寸变化和esc和/或基座的温度变化相关联的沉积灵敏度系数。在一个实施方案中,灵敏度系数可以指示沉积工艺(作为第一工艺步骤)对温度的灵敏度,其被转换为从在多重图案化工艺结束时形成的最终特征确定的尺寸。在另一个实施方案中,尺寸由在多重图案化工艺期间形成的中间特征确定。
74.在又一实施方案中,第一工艺条件是作为第一工艺步骤的沉积步骤(例如,ald)的第一循环次数。第二工艺条件是沉积步骤的第二循环次数。鉴于上述情况,灵敏度系数是当执行作为第一工艺步骤的沉积工艺步骤时将多个特征的尺寸变化和原子层沉积循环次数的变化相关的沉积灵敏度系数。在一个实施方案中,灵敏度系数可以指示沉积工艺(作为第一工艺步骤)对温度的灵敏度,其被转换为从在多重图案化工艺结束时形成的最终特征确定的尺寸。在另一个实施方案中,尺寸由在多重图案化工艺期间形成的中间特征确定。
75.图6a是流程图600a,其示出了根据本公开的一个实施方案的用于在衬底上执行的多重图案化工艺的自动反馈控制以管理在多重图案化工艺的一个或多个步骤期间引入的可变性的方法。例如,多重图案化工艺可以是自对准双重图案化工艺。反馈控制涉及在执行多重图案化工艺之后观察晶片上形成的最终特征的尺寸,并且对一个或多个工艺进行调整以校正这些尺寸相对于后续晶片上的目标或期望尺寸的变化。
76.在一个实施方案中,多重图案化工艺是sadp工艺。在sadp工艺的第一次图案化中应用反馈控制,以包括可以包括修整工艺的湿法蚀刻工艺和沉积工艺。可以在第一室中执行第一图案化,而在第二室中执行sadp工艺中的第二图案化。可以实施反馈控制以调整在第一室中执行的第一图案化中的工艺。
77.在610,该方法包括在晶片上执行系列工艺步骤以获得多个特征,其中在工艺条件下执行工艺步骤。例如,系列工艺步骤可以是多重图案化工艺,例如sadp工艺。该工艺步骤可以包括在sadp工艺的第一图案化中。此外,这些特征可以是在系列工艺步骤结束时形成的最终特征,例如图4h的最终特征405a。
78.在620,该方法包括在执行系列工艺步骤之后测量多个特征的尺寸。特别地,测量多个特征的每个尺寸以获得多个尺寸。尺寸可以从完成系列工艺步骤(例如sadp工艺)后形成的最终特征来测量。例如,尺寸可以是图4h的最终特征405a的线cd。多个尺寸的平均值成为多个特征的尺寸。
79.在630,该方法包括确定所测量的尺寸与多个特征的目标尺寸之间的差异。例如,目标尺寸可以是通过sadp工艺形成的最终特征405a的客户指定的线cd。
80.反馈控制用于调整多重图案化(例如,sadp)工艺的第一图案化中的至少一个工艺步骤,使得后续晶片可以实现与目标尺寸匹配的最终特征的尺寸。特别地,在640,该方法包括基于所述差异和多个特征的将尺寸变化和工艺条件变化相关联的灵敏度系数来修正工艺步骤的工艺条件。如前所述,灵敏度系数可以是用于将输出中的变化(例如,晶片上的最终特征的尺寸)与工艺可变性相关联的数学模型。以这种方式,给定输出的变化,可以从灵敏度系数确定工艺条件的变化以实现具有目标尺寸的输出。因此,在另一个至少一个后续晶片上执行包括修正的工艺步骤的系列工艺步骤,使得后续晶片获得最终特征的作为目标尺寸的尺寸。
81.在一个实施方案中,工艺条件是被配置用于晶片支撑的esc和/或基座的温度。例如,工艺条件可以是施加到esc和/或基座的一个或多个加热器区域的一种或多种温度。该工艺步骤可以是蚀刻工艺步骤,其可以包括修整工艺。在这种情况下,灵敏度系数可以是将多个特征的尺寸变化和esc和/或基座的温度变化或esc和/或基座中的加热器区域的温度变化相关联的修整灵敏度系数。也就是说,灵敏度系数指示蚀刻和/或修整工艺对温度的灵敏度,其被转换为从在多重图案化工艺结束时形成的最终特征确定的尺寸。因此,当对后续晶片执行蚀刻工艺步骤时,可以修正温度。
82.在另一实施方案中,工艺条件是被配置用于晶片支撑的esc和/或基座的温度。例如,工艺条件可以是施加到esc和/或基座的一个或多个加热器区域的一种或多种温度。该工艺步骤可以是沉积步骤。在这种情况下,灵敏度系数可以是沉积灵敏度系数,其将多个特征的尺寸变化和esc和/或基座的温度变化或esc和/或基座的一个或多个加热器区域的温度变化相关联。也就是说,灵敏度系数可以指示沉积工艺对温度的灵敏度,其被转换为从在多重图案化工艺结束时形成的最终特征确定的尺寸。因此,当对后续晶片执行沉积工艺步骤时,可以修正温度(例如,针对一个或多个加热器区域)。在又一个实施方案中,工艺步骤可以是蚀刻工艺步骤的组合,其可以包括修整工艺和沉积步骤。在这种情况下,灵敏度系数指示蚀刻和沉积工艺两者的灵敏度,其被转换为从最终特征确定的尺寸,其中灵敏度系数将多个特征的尺寸变化和esc和/或基座的温度变化相关联。因此,当对后续晶片执行蚀刻和沉积工艺步骤时,可以修正稳态温度。
83.在一个实施方案中,工艺条件是执行工艺步骤的时间,其中工艺步骤是蚀刻工艺,其可以包括修整工艺。在这种情况下,灵敏度系数可以是将多个特征的尺寸变化和用于执行蚀刻工艺的时间变化相关联的修整灵敏度系数。也就是说,灵敏度系数指示蚀刻和/或修整工艺对时间的灵敏度,其被转换为从在多重图案化工艺结束时形成的最终特征确定的尺寸。因此,可以在后续晶片上修正用于执行蚀刻和/或修整工艺的时间段。
84.在另一实施方案中,工艺条件是作为工艺步骤的沉积步骤的循环次数。在这种情况下,灵敏度系数可以是将多个特征的尺寸变化和在执行沉积步骤时原子层沉积循环次数的变化相关联的沉积灵敏度系数。因此,可以在后续晶片上修正ald循环的次数。在另一种情况下,沉积灵敏度系数将多个特征的尺寸变化和用于执行沉积步骤的总时间段的变化相关联。因此,可以在后续晶片上修正用于执行沉积的总时间段。
85.图6b示出了在晶片上执行sadp工艺之后多个最终特征(例如图4a-4h中所示的那
些)的尺寸(例如线cd)分布的不均匀性。例如,在放置在基座上的晶片上执行sadp工艺,该基座的每个加热器区域的温度为50摄氏度。如图所示,外径向区域660中最终特征的线cd的尺寸约为192埃。此外,内部径向区域650中的最终特征的线cd的尺寸约为194埃。也就是说,晶片上的最终特征对于线cd具有圆顶形尺寸分布,其中内径向区域650中的最终特征比外径向区域660中的最终特征宽。位于内径向区域的最终特征的线cd的尺寸和外径向区域的最终特征的线cd的尺寸之间存在约2埃的差异。如果例如,希望在整个具有与外径向区域660中发现的尺寸相似的尺寸的晶片上获得平坦的轮廓,则例如,可以选择修整灵敏度系数以提供反馈控制,从而将温度变化施加到对应于内径向区域650的一个或多个加热器区域。因此,将修正的温度应用于该一个或多个加热器区域以减小内径向区域650中的尺寸(例如,减小2埃),使得整个晶片上最终特征的线cd的尺寸是平坦的(例如,整个晶片上的尺寸约为192埃)。如前所述,灵敏度系数指示蚀刻和/或修整工艺对温度的灵敏度,其被转换为从在多重图案化工艺结束时形成的最终特征确定的线cd的尺寸。修整灵敏度系数在等式1中限定。
86.图7是说明根据本公开的一个实施方案的用于对在衬底上执行的多重图案化工艺进行自动化前馈控制以管理在多重图案化工艺的一个或多个步骤期间引入的可变性的方法的流程图。前馈控制采用先进的软件算法与专有硬件技术相结合,以实现局部微调,其通过校正控制参数和/或工艺条件的可变性,最大限度地减少整个晶片的变化。例如,前馈控制涉及测量和/或确定在晶片上执行的多重图案化工艺的工艺之一的控制参数,并响应于该控制参数相对于期望值的变化以减少该可变性对该晶片的多重图案化工艺的输出(例如晶片的最终线cd尺寸)的影响。前馈控制需要数学模型,该模型可以准确地预测控制参数的可变性对特定晶片的多重图案化工艺输出的影响。特别地,对于前馈控制,对sadp工艺中的工艺步骤的工艺条件的修正是基于关于工艺的知识。
87.在前馈系统中,考虑控制参数的可变性是基于关于以数学模型形式的多重图案化工艺中的工艺的知识,该数学模型包括灵敏度系数,以及关于工艺变化的知识或测量值。在一个实施方案中,在多重图案化工艺结束时形成的最终特征的关键尺寸是期望的尺寸。在另一个实施方案中,最终特征的关键尺寸可能与期望的不同。
88.在一个实施方案中,多重图案化工艺是sadp工艺。前馈控制可应用于sadp工艺的第一图案化,以包括可包括修整工艺的湿法蚀刻工艺和沉积工艺。可以在第一室中执行第一图案化,而在第二室中执行sadp工艺中的第二图案化。可以实施前馈控制以调整在第一室中执行的第一图案化中的工艺。
89.在710,该方法包括测量晶片上心轴的输入adi尺寸。特别地,可以测量输入晶片上的多个pr心轴的adi尺寸,其中将晶片引入配置为执行sadp工艺的第一图案化的室中。可以采用adi尺寸的平均值来确定代表性心轴的输入adi尺寸,其中输入adi尺寸用于前馈控制。例如,图4a示出了pr心轴403的adi尺寸。
90.对于sadp工艺,pr心轴的期望的输入adi尺寸在实现时最小化在执行sadp工艺的
步骤时引入的可变性。在720,该方法包括确定心轴的输入adi尺寸和期望adi尺寸之间的差异,其中该差异是可变性。pr心轴的输入adi尺寸与期望adi尺寸的任何差异或相对于期望adi尺寸的可变性都将对sadp工艺中的后续步骤产生影响,这些步骤将其工艺条件基于使输入adi尺寸是期望adi尺寸。本公开的实施方案能够考虑输入adi尺寸的可变性以减少该可变性对sadp工艺的影响(例如,使得在sadp工艺的执行期间形成的特征的线cd尺寸类似于期望尺寸)。例如,可变性可以包括输入adi尺寸相对于期望adi尺寸的增加,或者可变性可以包括输入adi关键尺寸相对于期望adi关键尺寸的减小。作为响应,sadp工艺的第一图案化中工艺条件的改变可以通过前馈控制来实现,以解决pr心轴的输入adi尺寸的可变性。
91.在730,该方法包括基于pr心轴的输入adi尺寸与期望adi尺寸的差异或相对于期望adi尺寸的可变性以及蚀刻工艺步骤的蚀刻速率来修正用于执行蚀刻工艺步骤的时间,其中蚀刻工艺步骤可以包括修整工艺步骤。例如,蚀刻速率可以基于将adi关键尺寸变化和时间变化(例如,每单位时间和给定温度)相关联的灵敏度系数。实施时间修正以基于输入adi尺寸来获得芯特征的期望adt尺寸。当执行自对准双重图案化(sadp)工艺的第一图案化工艺时形成芯特征。
92.例如,320埃的输入adi尺寸会大于300埃的期望adi关键尺寸。图4b示出了用于形成具有adt关键尺寸的芯1特征401a的湿法蚀刻和修整工艺的性能。由于输入adi关键尺寸的可变性,假设输入adi关键尺寸没有可变性(即是期望adi尺寸)的后续工艺步骤将产生芯特征的也大于期望adt关键尺寸的adt关键尺寸。为了说明的目的,芯特征的adt关键尺寸也可以比期望adt关键尺寸大20埃。考虑到输入adi关键尺寸的可变性(例如,增加20埃),执行蚀刻和/或修整工艺步骤的时间可能会比正常时间增加(假设输入的pr心轴具有期望adi关键尺寸),以便实现每个芯特征的期望adt关键尺寸。也就是说,延长执行蚀刻和/或修整工艺步骤的时间考虑到pr心轴的输入adi关键尺寸的可变性,以便在芯特征上发生更多横向蚀刻以实现期望adt关键尺寸。特别地,增加的蚀刻时间可以从代表性芯特征的每一侧横向蚀刻10埃。以这种方式,在sadp工艺中形成的芯特征的adt关键尺寸将类似于芯特征的期望adt尺寸。因此,通过调整后续工艺步骤的工艺条件(即调整蚀刻和/或修整工艺步骤的时间),经由前馈控制,工艺条件中可变性(即,输入adi关键尺寸相对于期望adi关键尺寸的增加)的影响已经最小化。
93.可以实施前馈控制以解决一个或多个后续工艺步骤的工艺条件相对于设计的工艺条件的变化。特别地,在740,根据本公开的一个实施方案,该方法包括接收sadp工艺的第二图案化工艺的工艺步骤中的变化。例如,第二图案化工艺可以包括干法刻蚀工艺,从而可以改变用于干法刻蚀工艺的相应工艺条件。
94.在750,该方法包括基于第二图案化工艺中的变化来确定芯特征上方的氧化物层的修正的asd尺寸。也就是说,asd尺寸可以具有基于在第二图案化工艺期间执行干法蚀刻工艺时预期的原始工艺条件的期望尺寸。图4c示出了用于在芯1特征401a上方形成间隔物1dep 415的共形沉积和选择性蚀刻工艺的性能,其中间隔物1dep 415具有在基本平行于晶片101的底表面412的水平方向上测量的asd关键尺寸。然而,第二图案化工艺的改变可能需要通过前馈控制改变氧化物层的asd尺寸,其中该改变与修正的asd尺寸相关。
95.如前所述,可以通过原子层沉积形成与芯1特征401a共形的作为间隔物1dep 415的氧化物层。因为修正的asd尺寸是已知的,所以在760,该方法包括在执行原子层沉积
(ald)工艺以基于ald工艺的沉积速率和芯特征(在其上面(例如,在横向方向上)沉积氧化层)的期望adt尺寸来实现修正的asd尺寸时确定沉积循环次数。例如,沉积速率可以(例如,对于给定温度)基于将每个沉积循环的asd尺寸变化相关联的灵敏度系数。因此,通过调整前一工艺步骤的工艺条件(即调整在sadp工艺的第一图案化中执行原子层沉积以实现相应芯特征上的氧化物层的修正的asd尺寸时的沉积循环次数),经由前馈控制,解决后续工艺步骤(例如,sadp工艺的第二图案化中的干法蚀刻)中的工艺条件的变化。
96.图8a示出了根据本公开的一个实施方案的用于在执行多重图案化工艺时确定灵敏度系数和执行反馈和/或前馈控制的工作流程800a。工作流程800a可以在先前在图4-7中介绍的任何多重图案化工艺中并且使用先前描述的并且也在图8b-8d中描述的灵敏度系数实施。
97.为了说明的目的,工作流程800a中所示的多重图案化工艺包括第一图案化工艺804和第二图案化工艺806,例如前面在图4a-4h中描述的sadp工艺中,但应理解,为了执行反馈和/或前馈控制的目的,可以执行任何多重图案化工艺的任何数量的工艺步骤。例如,第一图案化工艺804包括蚀刻和修整(例如,湿法蚀刻和/或修整)以及沉积工艺,并且第二图案化工艺806包括蚀刻和/或修整(例如,干法蚀刻和/或修整)以及沉积工艺,如先前参考图4a-4h所描述的。
98.如前所述,为了建立可用于反馈和/或前馈控制的数学模型的目的,执行经验测试。例如,反馈控制可以针对多重图案化工艺的控制参数的可变性或工艺条件进行调整。在另一示例中,该数学模型可以通过以下方式用于前馈控制:调整工艺步骤的输入变量的变化(例如,输入的pr心轴尺寸相对于期望尺寸的变化或第二图案化中后续工艺步骤的改变),同时执行多重图案化工艺,以使输出(例如,晶片上最终特征的尺寸)达到目标。数学模型包括提供特征尺寸(例如,最终特征、修整、沉积的尺寸)对温度和时间的灵敏度的一个或多个灵敏度系数。这些灵敏度系数可以通过经验测试(例如对工艺变化或干扰的测量)来确定。
99.如先前关于图5所描述的,为了确定灵敏度系数的目的,可以在两个工艺条件下对多个测试晶片802(例如,覆盖晶片或特征/拓扑晶片)执行测试。为了说明的目的,第一工艺条件包括第一稳态(ss)温度,并且第二工艺条件包括工艺804的第一图案化中的第二稳态温度。其他工艺条件之前描述过,并且还进一步参照图8b-8d描述。
100.因此,在用于第一图案化工艺804和/或第二图案化工艺806的多个测试晶片802上使用工艺条件执行蚀刻和修整以及沉积工艺。特别地,在第一图案化工艺804中的蚀刻和修整以及沉积工艺可以在晶片(例如,特征/拓扑晶片)上执行,以包括sadp工艺的第一图案化804,其中可以确定(例如,通过计量工具测量)具有adi关键尺寸的特征和具有asd关键尺寸的特征,以及具有其他关键尺寸的其他特征。此外,可以在两种工艺条件之间收集adi和asd关键尺寸的差异或增量数据。可以在第一图案化工艺804和/或第二图案化工艺806期间的任何点进行特征的其他测量,以及测量在第二图案化工艺806结束时形成的最终特征的尺寸。此外,温度读数可以包括对应于晶片上位置的成组的晶片上温度对(vs.)x-y坐标数据。
101.在一实施方案中,当确定灵敏度系数810时,在一实施方案中,考虑瞬态温度条件。特别是,虽然通常在晶片达到稳态温度(例如达到与esc的加热器区域对应的温度)之后执行沉积,但蚀刻和修整工艺是在瞬态温度条件下执行的,例如当晶片将要达到稳态温度时。
瞬态温度条件限定了在蚀刻和/或修整过程中温度在达到稳态温度之前的实际演变。因此,当在两种工艺条件下执行工艺步骤时确定晶片中特征的测量差异时,可以考虑瞬态温度条件。
102.基于所收集的数据,可以确定修整和沉积灵敏度系数810,如前所述。例如,针对两种工艺条件测量的特征关键尺寸和温度条件之间的差异可用于生成蚀刻和/或修整以及沉积灵敏度系数810。此外,覆盖沉积轮廓数据和/或测量值可用于确定沉积灵敏度系数810。例如,可以在晶片上执行覆盖沉积工艺,其中(例如,从计量工具)确定覆盖沉积轮廓数据和/或测量值以确定灵敏度系数(例如,沉积速率等)。此外,可在工艺804的第一图案化期间在两种工艺条件之间收集沉积厚度的差异数据以确定沉积灵敏度系数。灵敏度系数810将结合图8b-8d进一步描述。
103.灵敏度系数810可以基于在多重图案化工艺期间和/或结束时取得的控制参数的一个或多个测量值用于反馈和/或前馈控制的目的。也就是说,反馈控制812可用于基于对当前晶片进行的测量来调整用于处理后续晶片的工艺条件,如先前关于图6a所描述的。还可以在当前晶片上执行前馈控制814,以调整在执行第一图案化工艺期间测量的特征的关键尺寸的可变性,使得可变性不影响后续工艺,如先前关于图7所描述的。此外,可以对当前晶片执行前馈控制816以调整对后续工艺进行的工艺参数和/或工艺条件的改变(例如,对第二图案化工艺806中的工艺的改变),如先前关于图7所描述的。
104.例如,对于反馈控制812,可能期望在执行多重图案化工艺(例如,sadp工艺)之后具有在整个晶片上的平坦轮廓。可以(例如,从计量工具)确定工艺晶片808上的最终特征的关键尺寸,其中测量值可以包括晶片上的x-y坐标数据。当测量表明关键尺寸与期望设置不同时,可基于相应的灵敏度系数以及测量尺寸与期望尺寸之间的差异来确定对工艺条件的调整。例如,测量值可以指示处理晶片808的圆顶轮廓,其中最终特征(例如线cd)的尺寸在内径向区域中大于最终特征在外径向区域中的尺寸。可以经由使用相应的灵敏度系数,通过提供对加热器区域的调整(例如,加热器区域的新温度)来实现反馈控制,以解决测量尺寸和期望尺寸(例如,执行多重图案化工艺之后的最终特征的尺寸)之间的平均差异。以这种方式,在对后续工艺晶片808的工艺条件进行调整之后,在内部和外部径向区域上都存在最终特征尺寸的平坦轮廓。
105.可以执行前馈控制814以调整在执行第一图案化工艺期间测量的特征(例如,输入的pr心轴)的关键尺寸(例如,adi)的可变性,使得可变性不影响后续工艺(例如,第二图案化工艺))并且随后形成的特征与其期望尺寸相匹配。如前所述,当测得的输入adi关键尺寸偏离期望关键尺寸时,可对第一图案化工艺中的工艺进行调整,使得来自第一图案化工艺的输出与预期尺寸相匹配。例如,当输入adi关键尺寸太大时,可以增加蚀刻和/或修整工艺以抵消可变性可能对后续工艺产生的任何影响。以这种方式,当使用前馈控制执行多重图案化工艺时形成的当前晶片的中间和/或最终特征(例如,线cd)的尺寸可以匹配期望尺寸。
106.此外,可以执行前馈控制816以调整在处理当前晶片时对后续工艺进行的工艺参数和/或工艺条件的改变(例如,对第二图案化工艺806中的工艺的改变)。也就是说,可以实施前馈控制以调整对后续工艺步骤(例如,第二图案化工艺806,例如干法蚀刻)所进行的改变,这些后续工艺步骤需要改变先前的工艺步骤(例如,第一图案化工艺804)。例如,对第一图案化工艺804中的一个或多个步骤中的工艺步骤的调整可以包括改变蚀刻和/或修整工
艺以达到新确定的目标asd尺寸(例如,温度、时间等)和/或改变到沉积工艺(例如,覆盖(blanket)厚度)以达到新确定的目标asd尺寸等。
107.图8b是根据本公开的一个实施方案示出在两种不同稳态温度条件下的蚀刻和/或修整工艺的温度曲线演变的图800b,其用于确定在执行对多重图案化工艺的反馈控制时使用的修整对温度的灵敏度。y轴表示温度,x轴是执行蚀刻和/或修整工艺的经过时间。图表800b中呈现了两种不同的工艺条件,包括第一温度(temp 1)和第二温度(temp 2)。温度曲线830显示当使用第一工艺条件(temp 1)执行多重图案化工艺(例如,sadp工艺)的第一图案化(蚀刻和/或修整工艺)时晶片上的温度演变。温度曲线835显示了当使用第二工艺条件(temp 2)执行多重图案化工艺(例如,sadp工艺)的第一图案化(蚀刻和/或修整工艺)时晶片上的温度演变。直到时间t1的每个温度曲线下的面积对应于施加到相应晶片的总热量输入,其中施加的总热量还对应于在晶片上执行的修整量。如图所示,时间t1发生在蚀刻和/或修整工艺中,这通常发生在将晶片放置在esc上的前30到60秒内。因此,直到时间t1,两种工艺条件之间的热量输入差异或增量由区域837指示。此外,可以测量如温度曲线830和835中的每个所示的与使用两种不同工艺条件进行蚀刻和/或修整工艺的晶片对应的修整量。在另一实施方案中,如前所述,对根据在多重图案化工艺结束时形成的最终特征确定的线cd进行测量。可以确定将蚀刻和/或修整工艺的灵敏度与温度相关联的修整灵敏度系数,并在下面的等式2中限定。在某些情况下,等式2与前面介绍的等式1密切相关。
108.图8c是根据本公开的一个实施方案示出在两种不同时间约束(例如工艺条件)下操作的稳态温度条件下的蚀刻和/或修整工艺的温度曲线演变的图800c,其用于确定在执行多重图案化工艺的反馈控制时使用的修整对时间的灵敏度。y轴表示温度,x轴是执行蚀刻和/或修整工艺的经过时间。图表800b中呈现了两种不同的工艺条件,包括第一时间(t1)和第二时间(t2)。温度曲线830显示当使用两种不同工艺条件(t1和t2)执行多重图案化工艺(例如,sadp工艺)的第一图案化(例如,蚀刻和/或修整工艺)时晶片上的温度演变。直到时间(例如t1或t2)的温度曲线830的面积对应于施加到相应晶片的总热量输入,其中施加的总热量还对应于在晶片上执行的修整量。因此,在时间t1和t2之间,两种工艺条件之间的热量输入差异或增量由区域839指示。此外,可以测量与进行蚀刻和/或修整工艺直到时间t1和直到时间t2(两种不同工艺条件)的晶片对应的修整量。在另一实施方案中,如前所述,对根据在多重图案化工艺结束时形成的最终特征确定的线cd进行测量。可以确定将蚀刻和/或修整工艺的灵敏度与时间相关联的修整灵敏度系数,并在下面的等式3中限定。
109.图8d是根据本公开的一个实施方案示出在两种不同的稳态温度条件下执行的沉积工艺的沉积速率的确定的图800d,其中沉积速率基于沉积对温度的灵敏度并且可以在对
多重图案化工艺执行反馈和/或前馈控制时使用。y轴表示温度,x轴是执行蚀刻和/或修整工艺的经过时间。图表800d中呈现了两种不同的工艺条件,包括第一温度(temp 1)和第二温度(temp 2)。温度曲线840显示当使用第一工艺条件(temp 1)执行多重图案化工艺(例如,sadp工艺)的第一图案化(蚀刻和/或修整工艺)时晶片上的温度演变。温度曲线845显示了当使用第二工艺条件(temp 2)执行多重图案化工艺(例如,sadp工艺)的第一图案化(蚀刻和/或修整工艺)时晶片上的温度演变。如分界线847所示,沉积工艺通常在晶片达到稳态温度之后发生。虽然蚀刻和修整工艺需要长达30秒,但沉积工艺可以在更长的时间段(例如,200秒)内执行,并且主要在晶片处于温度稳态时发生。直到时间t1的每个温度曲线下的面积对应于施加到相应晶片的总热量输入,其中施加的总热量也松散地对应于晶片上形成的沉积量。如图所示,沉积工艺一直执行到时间t1,这发生在分界线847之后。两种工艺条件之间的热量输入差异或增量由区域849指示。此外,可以测量如温度曲线840和845中的每个所示的与使用两种不同工艺条件进行蚀刻和/或修整工艺的晶片对应的沉积层厚度。在另一实施方案中,如前所述,对根据在多重图案化工艺结束时形成的最终特征确定的线cd进行测量。可以确定将沉积过程的灵敏度与温度相关联的沉积灵敏度系数(或沉积速率),并在下面的等式4中限定。
110.此外,沉积厚度可以通过将沉积工艺的灵敏度与正在执行的原子层沉积(ald)循环次数相关联的沉积灵敏度系数(或沉积速率)来确定。也就是说,沉积厚度与(例如,在给定温度下)执行的ald循环次数密切相关。特别地,可以确定将沉积工艺的灵敏度与所执行的ald循环次数相关联的沉积灵敏度系数(例如,沉积速率),并在下面的等式5中限定。
111.图9示出了用于控制上述系统的控制模块900。在一个实施方案中,图1a的控制模块110可以包括控制模块900的示例性部件中的一些。例如,控制模块900可以包括处理器、存储器和一个或多个接口。控制模块900可以用于部分基于所感测的值控制系统中的设备。仅举例而言,控制模块900可基于所感测的值和其他控制参数控制阀902、过滤器加热器904、泵906、区域加热器950以及其他设备908中的一个或多个。仅举例而言,控制模块900从压力计910、流量计912和/或其它传感器816接收所感测的值。控制模块900也可以用来在膜的前体传送和沉积过程中控制工艺条件。控制模块900典型地将包括一个或多个存储设备和一个或多个处理器。
112.控制模块900可控制前体传送系统和沉积装置的活动。控制模块900执行计算机程序,计算机程序包括用于控制工艺时序、输送系统温度、以及跨过滤器的压差、阀位、气体的混合、室压力、室温度、衬底温度、rf功率水平、衬底卡盘或基座位置、以及特定工艺的其它参数的成组的指令。控制模块900还可以监测压力差,并自动将气相前体传送从一个或多个
路径切换到一个或多个其它的路径。在一些实施方案中,可以使用存储在与控制模块900相关联的存储器设备的其它计算机程序。
113.典型地,将存在与控制模块900相关联的用户界面。用户界面可以包括显示器918(例如,装置和/或工艺条件的显示屏和/或图形软件显示),以及用户输入设备920,如定点设备、键盘、触摸屏、麦克风等。
114.用于控制前体的传送、沉积和工艺序列中的其它处理的计算机程序可以用例如任何以下常规的计算机可读编程语言写入:汇编语言、c、c++、pascal、fortran或其它。编译的对象代码或脚本由处理器执行以执行在程序中识别的任务。
115.控制模块参数涉及工艺条件,诸如例如,过滤器的压力差、工艺气体组成和流速、温度、压力、等离子体条件(如rf功率水平和低频rf频率)、冷却气体压力、以及室壁温度。
116.系统软件可以以许多不同的方式设计或配置。例如,各种室部件子程序或控制对象可以被写入以控制进行本发明的沉积工艺所必需的室部件的操作。用于此目的的程序或程序段的示例包括衬底定位代码、工艺气体控制代码、压力控制代码、加热器控制代码和等离子体控制代码。
117.衬底定位程序可包括用于控制室部件的程序代码,室部件用于将衬底加载到基座或卡盘上并控制衬底和室的其他部件(例如气体入口和/或靶)之间的间距。工艺气体控制程序可包括用于控制气体组成和流速以及任选地用于在沉积之前使气体流入室以稳定室中的压力的代码。过滤器监控程序包括比较测得的一个或多个差值与预定的一个或多个值的代码和/或用于切换路径的代码。压力控制程序可以包括用于通过调节例如在室的排气系统中的节流阀来控制室中的压力的代码。加热器控制程序可包括用于控制通向加热单元的电流的代码,加热单元用于加热前体传送系统内的部件、衬底和/或系统的其它部分。替代地,加热器控制程序可控制传热气体(例如氦)到衬底卡盘的传送。
118.在沉积期间可被监测的传感器的示例包括,但不限于,质量流量控制模块,诸如压力计910之类的压力传感器、位于传送系统、基座或卡盘内的热电偶。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用来维持所需的工艺条件。前述内容描述了本发明的实施方案在单室或多室半导体处理工具中的实施。
119.在一些实现方式中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(衬底基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何处理,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(rf)产生器设置、rf匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、衬底转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
120.概括地说,控制器可以限定为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(dsp)、限定为专用集成电路(asic)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序
指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,限定用于在半导体晶片或系统上或针对半导体衬底或系统执行特定处理的操作参数。在一些实施方式中,操作参数可以是由工艺工程师限定的配方的一部分,该处理被设计成在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
121.在一些实现方式中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对衬底处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供处理配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。
122.在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的处理的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的处理和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的处理。
123.示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(pvd)室或模块、化学气相沉积(cvd)室或模块、原子层沉积(ald)室或模块、原子层蚀刻(ale)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
124.如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
125.实施方案的前面的描述是为了说明和描述目的而提供。其用意不是要彻底详述或限制本发明。特定实施方案的单个的元件或特征一般并不受限于该特定实施方案,而是即使未具体示出或描述,其在适用情况下是可以互换且可用于选定的实施方案中。这同样可以以各种方式变化。这样的变化不被视为背离本发明,并且所有这样的修正也包含在本发明的范围内。
126.虽然前述的实施方案已为了清楚理解的目的而相当详细地进行了描述,但应明白,某些改变与修正可在随附的权利要求的范围内实施。因此,本实施方案应视为说明性的而非限制性的,且这些实施方案不应受限于本文中所提供的细节,而是可在其权利要求的范围及等同方案内进行修正。
当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1