适用于片上系统的扫描测试控制电路的制作方法

文档序号:6226183阅读:174来源:国知局
适用于片上系统的扫描测试控制电路的制作方法
【专利摘要】公开一种适用于片上系统的扫描测试控制电路。所述片上系统包括多个电源门控模块和多个非电源门控模块,所述扫描测试控制电路包括:与所述多个电源门控模块对应的多个二选一电路,每个二选一电路的第一输入端接收电源门控信号,第二输入端接收扫描测试模式的电源门控信号,控制端接收扫描模式信号,输出端连接到电源门控模块的门控晶体管的栅极;多个屏蔽电路,每个屏蔽电路连接在一个电源门控模块和一个非电源门控模块之间,选择性地输出0或1。
【专利说明】适用于片上系统的扫描测试控制电路
【技术领域】
[0001]本发明涉及片上系统(SOC),更具体地讲,涉及一种适用于SOC的扫描测试控制电路,所述扫描测试控制电路能够有效地减少测试功耗并提高测试通过率。
【背景技术】
[0002]随着片上系统(SOC)的规模发展得越来越大,出于功耗的考虑,电源门控(powergating)设计方法被广泛应用在S OC芯片设计领域。与此同时,由于SOC的规模增大,扫描测试硬件和软件的要求也越来越高,因此对SOC芯片进行分组扫描测试越来越普及。
[0003]图1是示出具有电源门控模块和非电源门控模块的SOC的示图。参照图1,PL...Pn表示电源门控模块,NI…Nn表示非电源门控模块,电源门控模块PI…Pn具有门控逻辑,箭头表示各个模块的输入和输出。在图1中,门控逻辑由PMOS晶体管实现。然而,门控逻辑也可由NMOS晶体管实现。
[0004]图2是示出根据现有技术的SOC的扫描测试的示例的示图。参照图2,电源门控模块的PMOS晶体管由相关逻辑进行控制。每个电源门控模块的门控电路在扫描测试模式下(即,扫描模式信号(scan mode) = I),无论电源门控信号如何,各个电源门控模块都是上电的。即,当scan mode = I时,PMOS晶体管导通,从而将电源电压提供给电源门控模块。也就是说,在现有测试方法中,SOC芯片的所有模块都处于上电状态。然而,往往需要测试的区域仅是一小部分,这样时钟信号和组合逻辑变化信号会传递到非测试逻辑,从而带来大量的动态功耗,还会导致IR-Drop问题。

【发明内容】

[0005]因此,本发明的目的在于提供一种适用于片上系统的扫描测试控制电路,所述扫描测试控制电路能够实现局部上电模块扫描测试并且能够防止掉电模块输出的不定态信号对非电源门控模块的影响,从而有效地降低功耗。
[0006]根据本发明的一方面,提供一种适用于片上系统的扫描测试控制电路,所述片上系统包括多个电源门控模块和多个非电源门控模块,所述扫描测试控制电路包括:与所述多个电源门控模块对应的多个二选一电路,每个二选一电路的第一输入端接收电源门控信号,第二输入端接收扫描测试模式的电源门控信号,控制端接收扫描模式信号,输出端连接到电源门控模块的门控晶体管的栅极;多个屏蔽电路,每个屏蔽电路连接在一个电源门控模块和一个非电源门控模块之间,选择性地输出O或I。
[0007]优选地,当扫描模式信号为O时,电源门控模块处于非扫描测试状态,并且二选一电路将电源门控信号输出到电源门控模块的门控晶体管的栅极,以使门控晶体管根据电源门控信号导通或截止;当扫描模式信号为I时,电源门控模块处于扫描测试状态,并且二选一电路将扫描测试模式的电源门控信号输出到电源门控模块的门控晶体管的栅极,以使门控晶体管根据扫描测试模式的电源门控信号导通或截止。
[0008]优选地,当门控晶体管导通时,门控晶体管将电源电压提供给电源门控模块。[0009]优选地,所述扫描测试控制电路还包括:非门,输入端接收扫描测试模式的电源门控信号,其中,屏蔽电路是与门,与门的两个输入端分别接收非门的输出信号和电源门控模块的输出信号。
[0010]优选地,所述扫描测试控制电路还包括:多个缓冲器,每个缓冲器的输入端接收扫描测试模式的电源门控信号,其中,屏蔽电路是或门,或门的两个输入端分别接收缓冲器的输出信号和电源门控模块的输出信号。
[0011]根据本发明,在对SOC中的部分电源门控模块进行扫描测试时,其他电源门控模块可以掉电,并且掉电的电源门控模块的输出信号别屏蔽。这样,SOC中的非电源门控模块不会受到掉电的电源门控模块的影响,并且可降低测试功耗,减少逻辑状态的翻转,进而减少IR-drop,提高测试通过率。
【专利附图】

【附图说明】
[0012]通过下面结合附图对实施例进行的描述,本发明的这些和/或其他方面和优点将会变得清楚和更易于理解,在附图中:
[0013]图1是示出具有电源门控模块和非电源门控模块的SOC的示图;
[0014]图2是示出根据现有技术的SOC的扫描测试的示例的示图;
[0015]图3是示出根据本发明的示例性实施例的适用于SOC的扫描测试控制电路的示图;
[0016]图4是示出根据本发明的示例性实施例的SOC之间的两两测试的示图。
【具体实施方式】
[0017]在下文中参照附图更充分地描述本发明,在附图中示出了本发明的示例性实施例。然而,本发明可以以许多不同的形式来实施,且不应该解释为局限于在这里所提出的实施例。相反,提供这些实施例使得本公开将是彻底和完全的,并将本发明的范围充分地传达给本领域技术人员。
[0018]以将参照附图详细地解释本发明。
[0019]图3是示出根据本发明的示例性实施例的适用于SOC的扫描测试控制电路的示图。
[0020]参照图3,适用于SOC的扫描测试控制电路可包括二选一电路301和屏蔽电路302,并可选择性地包括非门303。在图3中仅示出一个电源门控模块,然而,SOC可包括多个电源门控模块和非电源门控模块,适用于SOC的扫描测试控制电路可包括与多个电源门控模块对应的多个二选一电路301,并且可包括多个屏蔽电路302以及多个非门303。
[0021]二选一电路301的第一输入端(O端)接收电源门控信号,第二输入端(I端)接收扫描测试模式的电源门控信号(power gating test control),控制端接收扫描模式信号(scan mode),输出端连接到电源门控模块的门控晶体管的栅极。这样,当scan mode =O时,电源门控模块将处于非扫描状态。这时,二选一电路301将电源门控信号输出到电源门控模块的门控晶体管的栅极,从而门控晶体管根据电源门控信号导通或截止。因此,电源门控模块可根据电源门控信号上电。另一方面,当scan mode = I时,电源门控模块将处于扫描状态。这时,二选一电路301将扫描测试模式的电源门控信号输出到电源门控模块的门控晶体管的栅极,从而门控晶体管根据扫描测试模式的电源门控信号导通或截止。因此,即使在扫描状态下,也可根据扫描测试模式的电源门控信号选择性地导通/截止门控晶体管,以使电源门控模块上电/掉电。因此,可在实现局部扫描测试的情况下使不需要测试的电源门控模块掉电,从而减少功耗。
[0022]屏蔽电路302连接在电源门控模块和非电源门控模块之间,选择性地输出O或I。例如,屏蔽电路302可以是与门,这样,当电源门控模块掉电时,非门303输出0,而屏蔽电路302输出屏蔽信号O。另一方面,屏蔽电路302可以是或门,同时使用缓冲器替换非门303,这样,当电源门控模块掉电时,缓冲器输出为1,并且屏蔽电路302输出屏蔽信号I。。进一步讲,当处于扫描测试状态下的电源门控模块的电源被门控电路关断(即,电源门控模块的门控晶体管截止),则该电源门控模块会输出不定态信号。如果不屏蔽这种不定态信号,则不定态信号会传输到一直上电的非电源门控模块,从而导致静态功耗的增加。因此,在电源门控模块和非电源门控模块之间插入屏蔽电路302,根据设计需要,屏蔽电路302可输出O或I。例如,屏蔽电路302可以是与门,它的两个输入端分别接收电源门控模块的输出信号和非门303的输出信号。非门303的输入端接收扫描测试模式的电源门控信号。这样,在扫描测试状态(即,scan mode = I)下,如果电源门控测试控制信号为1,则门控晶体管截止,电源门控模块掉电(即,电源门控模块的电源被门控电路关断)。此时,非门303的输出信号为O,与门的输出信号也为O,S卩,屏蔽电路302将掉电的电源门控模块的输出屏蔽为
O。另一方面,屏蔽电路302可以是与非门。这样,当扫描测试状态下的电源门控模块掉电时,屏蔽电路302输出I。注意,根据设计需要,屏蔽电路302可通过各种不同的逻辑电路来实现,只要其输出在扫描测试状态下的电源门控模块掉电时保持O或I即可。
[0023]图4是示出根据本发明的示例性实施例的SOC之间的两两测试的示图。
[0024]参照图4,存在两个测试模式,一个是test_x,另一个是test_y。在每个测试模式之下,有两类功能模块,一类是P_开头的电源门控模块,一类是N_开头的非电源门控模块。非电源门控模块始终处于上电状态。屏蔽电路永远都是设置断电的电源门控模块(P_)到上电的非电源门控模块的路径上,而一起测试的电源门控模块之间不需要设置屏蔽电路。注意,为了清楚简要,屏蔽电路省略了电源门控测试控制信号经过非门之后的输入。
[0025]根据本发明的示例性实施例,在对SOC中的部分电源门控模块进行扫描测试时,其他测试模式下的电源门控模块可以掉电,并且掉电的电源门控模块的输出信号被屏蔽。这样,当前测试模式下SOC中的非电源门控模块不会受到其他测试模式掉电的电源门控模块的影响,并且可降低测试功耗,减少逻辑状态的翻转,进而减少IR-drop,提高测试通过率。
[0026]虽然已经显示和描述了一些实施例,但是本领域技术人员应该理解,在不脱离本发明的原理和精神的情况下,可以对这些实施例进行修改,本发明的范围由权利要求及其等同物限定。
【权利要求】
1.一种适用于片上系统的扫描测试控制电路,所述片上系统包括多个电源门控模块和多个非电源门控模块,所述扫描测试控制电路包括: 与所述多个电源门控模块对应的多个二选一电路,每个二选一电路的第一输入端接收电源门控信号,第二输入端接收扫描测试模式的电源门控信号,控制端接收扫描模式信号,输出端连接到电源门控模块的门控晶体管的栅极; 多个屏蔽电路,每个屏蔽电路连接在一个电源门控模块和一个非电源门控模块之间,选择性地输出O或I。
2.根据权利要求1所述的扫描测试控制电路,其中,当扫描模式信号为O时,电源门控模块处于非扫描测试状态,并且二选一电路将电源门控信号输出到电源门控模块的门控晶体管的栅极,以使门控晶体管根据电源门控信号导通或截止; 当扫描模式信号为I时,电源门控模块处于扫描测试状态,并且二选一电路将扫描测试模式的电源门控信号输出到电源门控模块的门控晶体管的栅极,以使门控晶体管根据扫描测试模式的电源门控信号导通或截止。
3.根据权利要求2所述的扫描测试控制电路,其中,当门控晶体管导通时,门控晶体管将电源电压提供给电源门控模块。
4.根据权利要求1所述的扫描测试控制电路,还包括:多个非门,每个非门的输入端接收扫描测试模式的电源门控信号, 其中,屏蔽电路是与门,与门的两个输入端分别接收非门的输出信号和电源门控模块的输出信号。
5.根据权利要求1所述的扫描测试控制电路,还包括:多个缓冲器,每个缓冲器的输入端接收扫描测试模式的电源门控信号, 其中,屏蔽电路是或门,或门的两个输入端分别接收缓冲器的输出信号和电源门控模块的输出信号。
【文档编号】G01R31/28GK103983912SQ201410186205
【公开日】2014年8月13日 申请日期:2014年5月5日 优先权日:2014年5月5日
【发明者】王金城 申请人:三星半导体(中国)研究开发有限公司, 三星电子株式会社
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1