电压调整装置与方法、移动装置及其运行方法与流程

文档序号:13109373阅读:128来源:国知局

技术领域
:本发明有关于系统芯片(system-on-chip)平台的电压调整(voltagescaling)结构。
背景技术
::包含相同电路系统(circuitry)的不同集成电路(IntegratedCircuit,以下简称为IC)芯片能够以不同的方式执行相同或者不同的功能,例如,由于制备工艺中先天的(innate)变化、电压供给变化、电压以及温度条件变化等(条件通常意指例如PVT的结合方式,其中P、V、以及T分别表示工艺、电压、以及温度)。IC芯片能够基于芯片运行的频率来表征并以此分为几种类别(例如:一般、快、慢等)。IC芯片的表征(characterization)包含决定针对每一类别的频率-电压特性。频率-电压特性提供针对IC芯片的具体类别、在给定频率下运行的电压需求信息。对应给定IC芯片类别的频率-电压特性可用于一种包含芯片的系统,使得系统能够决定在不同频率下芯片的操作电压。技术实现要素:有鉴于此,本发明提供新的电压调整装置与方法、移动装置及其运行方法。依本发明一实施方式,揭示一种电压调整装置,包含数据处理器;至少两个硬件监测器,测量相关于数据处理器的多个参数。此装置还包含电源,向数据处理器以及硬件监测器提供电压;以及控制器,根据来自硬件监测器的测量控制电源调整电源的输出电压水平。在不同条件下对硬件监测器应用不同权重值,并且电源的输出电压水平根据加权测量或者从加权测量得到的值得到控制。根据本发明另一实施方式,提供一种移动装置,包含数据处理器;至少两个硬件监测器,测量相关于数据处理器的不同部分的多个参数。此移动装置还包含电源,向数据处理器以及硬件监测器提供电压;查找表,包含多个目标电压值、多组权重值、以及多组预设参数值。每一目标电压值、每一组权重值、以及每一组预设参数值对应至少一种条件。此移动装置还包含控制器,使用开放环路控制基于从查找表获取的多个目标电压值来控制电源的输出电压水平,并且使用闭合环路控制来基于硬件监测器提供的反馈来控制电源的输出电压水平。将从查找表获取的多个权重值应用在来自硬件监测器的多个测量值和多个预设参数值之间的多个差值上以得到多个加权差值,以及控制器控制电源的输出电压水平以降低多个加权差值的总和。根据本发明又一实施方式,提供一种电压调整方法,包含:使用电源向数据处理器提供电压;使用至少两个硬件监测器测量相关于数据处理器的多个参数并且产生多个测量参数值。该方法还包含决定多个测量参数值与多个预设参数值之间的多个差值;将多个权重应用到多个差值上,得到多个加权差值;以及根据多个加权差值调整电源的输出电压水平。根据本发明又一实施方式,提供一种运行移动装置的方法,包含:使用电源向数据处理器提供电压;使用数据处理器执行应用程序;以及使用自适应电压调整过程来降低数据处理器的功率消耗。功率消耗通过自适应电压调整过程得以降低,包含:使用至少两个硬件监测器测量相关于数据处理器的多个参数并且产生多个测量参数值;决定多个测量参数值与多个预设参数值之间的差值。此过程还包含根据至少一条件选择多个权重值;将多个权重应用到多个差值上,得到多个加权差值;以及根据多个加权差值调整电源的输出电压水平。根据本发明又一实施方式,提供一种电压调整方法,包含:使用第一硬件监测器以及第二硬件监测器测量相关于数据处理器的参数;决定在第一条件下第一硬件监测器更准确地仿真该数据处理器的一个关键路径;在第二条件下第二硬件监测器更准确地仿真该数据处理器的一个关键路径。该方法还包含当在第一条件下时,分配给第一硬件监测器第一权重值以及分配给第二硬件监测器第二权重值,第一权重值大于第二权重值。该方法还包含当在第二条件下时,分配给第一硬件监测器第三权重值以及分配给第二硬件监测器第四权重值,第三权重值小于第四权重值;以及将第一权重值、第二权重值、第三权重值、第四权重值存储到查找表。本发明所提出的电压调整装置与方法、移动装置及其运行方法,通过加权来调整输出电压,在降低处理器的功耗同时仍允许处理器正常运行。附图说明图1显示用于电压调整的系统的范例方块图。图2是包含一序列操作来控制系统的操作电压的范例流程的流程图。图3是显示包含范例查找表存储器接口的系统的示意图。图4是显示范例关键路径仿真器的电路示意图。图5是可配置的延迟电路内部电路系统的范例示意图。图6A显示采样阶段的子阶段的范例电路系统示意图。图6B显示在采样阶段不同部分的输出信号的绘图。图7是包含一序列包含初始化以及校正关键路径仿真器的操作的范例流程的流程图。图8显示范例稳定性控制器的示意方块图。图9A是显示稳定性控制器如何对环境变量的改变做出反应的范例绘图。图9B是显示稳定性控制器如何对操作频率的改变做出反应的范例绘图。图10A是显示在自适应电压调整系统中包含多个监测器的系统的范例示意图。图10B是显示范例系统的示意图。图11A显示对应不同监测器选择权重的范例。图11B显示对应不同监测器选择权重的另一范例。图12是控制器与监测器之间通信的范例系统的方块图。图13显示自适应电压调整系统中离线控制方案的信号范例时序图。图14显示比较将调整信息包含于中断信号中的系统以及用于自适应电压调整基于轮询的系统的范例绘图。图15是计算机系统的示意图。具体实施方式数据处理器的功率消耗能够通过在低于指定电压水平的电压水平运行数据处理来降低。指定电压水平是基于最坏情况的条件所决定的电压水平。由于,举例而言,材料以及制备工艺的变化,相同设计的不同集成电路芯片可能具有不同特性。最具动态性的电压频率调节(DynamicVoltageFrequencyScaling,DVFS)系统使用离散的操作电压/频率对(discretevoltage/frequencypairs),其一般储存在集成电路芯片的查找表(LookUpTable,LUT)中。一般选择足够高的电压来允许大部分的芯片正常运行。对于那些对应给定时钟频率,能够运行于较低电压的集成电路芯片,根据查找表所指定的电压水平来运行数据处理器将导致功率浪费。通过使用硬件仿真器(emulator)来仿真数据处理器的关键路径以及测量所仿真的关键路径的时序或者延迟,能够将反馈信息提供给电压控制器来决定能够以充足的时间裕度(timingmargin)来运行数据处理器的最低电压。此自适应电压调整(AdaptiveVoltageScaling,AVS)在降低数据处理器的功耗同时仍允许处理器正常运行。对于用于计算装置的集成电路,例如处理器芯片,频率-电压特性提供针对给定频率的有关电压需求的信息。一般是将具有此集成电路的装置配置为运行不同应用,并且频率需求通常为应用的函数。例如,智能电话上,相比于语音应用或者信息应用,视频或者其他多媒体应用可能需要智能电话运行在更高的频率。需要处理器运行在高时钟频率的应用一般消耗更多功率,由此需要更高的操作电压。针对给定频率的电压需求能够基于对应集成电路给定类别(快、慢、超快等)的频率-电压特性来决定。一范例中,能够将频率-电压特性储存为查找表,并且通过集成电路系统存取来决定针对给定频率的电压需求。但是,因为给定的集成电路会展现相应类别的一般特性所引起的变化(例如,由于材料或者制备工艺的固有变化(inherentvariations)及/或温度变化),并且因为频率-电压特性一般是针对最坏情况条件来决定的,给定集成电路有时可以运行于相较于对应给定类别的频率-电压特性所指定的电压,更低的电压。例如,使用关键路径仿真器监测在运行期间(run-time)电路中关键路径的延迟,并且比较所监测的延迟与所期望的延迟(例如通过预表征(pre-characterization)获取的延迟),则在所监测的延迟实质上等于所期望的延迟之前,可以降低操作电压。在特定环境下,功率消耗与电压的平方成比例,由此降低操作电压能够使得功率得到大幅降低。这种通过调整操作电压进行的功率管理意指电压调整。一些实施方式中,在此描述的方法以及系统能够展现后续至少一个优势。通过使用基于总线(bus-based)的结构,电压调节系统(voltageregulationsystem)是可扩展的(scalable)。能够在总线上添加额外的性能监测器而不对结构的其余部分作出实质改变。此结构还允许在监测器的选择上增加灵活性,选择接近关键路径的监测器,而忽略或者分配低权重给其他监测器。在负载改变前,电压调节系统持续跟踪不同的监测器并且基于监测器读数(readings)调整电压。通过跟踪以及调整负载改变(即频率及/或温度的明显改变)之间的电压,能够达到在运行时间期间明显的功率节约的效果。通过提供稳定性控制器(stabilitycontroller),系统能够补偿超调(overshoot)/负调(undershoot)电压响应,还能够防止例如失控(runaway)振荡等不良状况。使用本发明的电压调节方法及系统还能够降低环路响应时间。环路响应时间定义为在工作负荷(workload)波动(fluctuation)时(例如频率、温度、及/或IR压降(IRdrop)改变时)电压调节系统响应改变的速度有多快。IR压降是电压降或者跨越(across)传导路径(或者电路中任何其他电阻性元件)的电压改变。IR压降通过电流I以及相应电阻R的乘积给出。使用本发明的方法及系统,环路响应时间能够以几种方式降低,例如:通过提供对查找表存储器的直接存取来降低查找表存取时间,以及基于在两次电压调整中的第一次电压调整期间所执行的电压校正量来计算两次电压调整之间的时间。通过提供支持功能来处理功率降低请求,还能进一步节约功率。此功率降低请求能够关闭电压调节系统中未处于使用状态的至少一部分。电压调整系统概况图1显示用于电压调整的系统100实施方式的范例方块图。一些实施方式中,系统100是自适应电压调整系统,也可视为系统中的电压调整装置。总体来说,系统100包含处理器105以及控制器110。电源(powersupply)115将供电电压117提供给处理器105。电源115包含由控制器110控制的电压调节器114来调节电源115提供的供电电压117的电压水平。控制器110接收来自至少一监测器125a、125b、…、125n(统称为监测器125)的反馈。监测器125监测相关于处理器105的操作参数(例如相关于处理器105的电路延迟),并且相应地控制供电电压117。一些实施方式中,监测器125被配置为测量相关于处理器的不同部分的特性。上述组合的反馈环路有助于将供电电压117调节为低于从查找表获取的频率-电压特性所提供的电压水平,如此可大幅节约功率。处理器105可以是不同形式或者不同类型。一些实施方式中,处理器105是用于蜂窝电话的移动处理器。处理器105还可以是(并非对本发明的限制)用于台式计算机、膝上型电脑、平板电脑、电子阅读器、或者其他有线或者无线电子装置的处理器。处理器105用于基于处理器执行的应用在不同的功率水平下运行。处理器105还基于应用在不同的时钟频率下运行。例如,处理器105在执行语音以及短信息应用时,运行于低电压和低频率。但是,对于更复杂的应用,例如呈现(rendering)视频,处理器105运行于相对较高的电压和频率。特定的应用以及相应操作参数通常意指对应处理器105的负载。一般而言,处理器105用于在负载改变时,改变操作电压以及频率。一些实施方式中,处理器的一部分也被关闭或者运行于“睡眠模式”。处理器105还包含功率管理模块,用于基于负载来管理处理器105的操作参数。一些实施方式中,处理器包含至少一核心120(也意指主控制单元(MasterControlUnit,MCU)120)。控制器110用于管理至少一部分的功率管理操作。控制器110可与电压调节器114通信来控制电源115提供的供电电压117。一些实施方式中,控制器110与电压调节器114之间的通信是通过总线135进行。控制器110还可以与电压调节器114直接耦接。主控制单元120用于编写或者储存主控制单元120的频率-电压特性。例如,频率-电压特性能够储存在查找表140中。尽管图1显示,查找表140是控制器110的一部分,查找表140还能够储存在系统100的其他位置中(例如在处理器105上,或者在一个独立的存储装置中)。控制器110用于基于从查找表140获取的目标电压值(例如预存电压值),来控制电压调节器114。例如,当处理器105必须运行在一个特定频率时,控制器110基于在查找表140中储存的频率-电压特性所指定的电压(即目标电压值)来控制电压调节器114。控制器110还基于从监测器125接收的反馈信息来控制电压调节器114。例如,对于给定频率,如果处理器105运行于频率-电压特性指定的操作电压,则监测器125用于测量关键路径的延迟,以获得测量的电路延迟值。如果在操作条件下,测量延迟少于所期望的目标延迟(例如测量的电路延迟值小于目标电路延迟值),则控制器110降低供电电压117。接着,再一次使用监测器125来测量延迟,并且相应地重新调整供电电压117。在测量延迟足够接近于目标延迟(例如,由阈值条件定义是否足够接近)前,控制器110基于来自监测器125的反馈来控制电压调节器114。基于反馈的控制在基于查找表140的控制之间(例如两次基于查找表的控制之间)的时间点完成。在本发明一些实施方式中,目标电路延迟值也可以储存在查找表140中。当控制器110仅基于从查找表140获取的目标电压值(即预存电压值)而不参考反馈信息来控制电压调节器114时,这意指开放环路控制。当控制器110基于反馈信息来控制电压调节器114时,这意指闭合环路控制。当控制器110基于从查找表140获取的目标电压值以及反馈信息二者来控制电压调节器114时,开放环路控制用于将操作电压驱动至目标电压值,并且闭合环路控制用于将操作电压最佳化。在此状况下,从查找表140获取的目标电压值对应不同条件,例如,对应特定频率、温度、及/或工艺的最差情况下的电压,并且用于起始点,来允许使用闭合环路控制更快地到达最佳化的电压值。也就是说,控制器110使用开放环路来基于查找表提供的目标电压值来控制电源115的输出电压水平,并且使用闭合环路基于监测器125提供的反馈来控制电源115的输出电压水平。基于监测器125来对输出电压水平的控制将在之后详细描述。一些实施方式中,控制器110根据从查找表140获取的目标电压值(即预存电压值)来控制电源的输出电压水平达到一个电压水平,接着使用自适应电压调整过程来最佳化输出电压水平。一些实施方式中,查找表140还提供闭合环路控制中对应所测量的参数的预设参数值(即上述目标延迟值)。预设参数值也对应不同条件。因此,当条件改变时(例如时钟频率、供电电压下降、温度、硅老化、工艺偏移其中至少之一改变时),控制器可以从查找表140获取新的目标电压值来用于开放环路控制,并且获取新的预设参数值来用于闭合环路控制。处理器105还包含监测器125,测量至少一相关于芯片上(on-chip)条件的参数。监测器125还意指硬件性能监测器(HardwarePerformancemonitors,HPM)。一些实施方式中,仅在处理器105上设置一个监测器125。其他状况下,可使用多个监测器125。一些实施方式中,将监测器125设置在处理器核心上足够接近潜在的(potential)关键路径的位置,以使得影响关键路径的温度以及其他条件也影响监测器125。一些实施方式中,每一监测器125都包含电路系统(例如,逻辑门以及互连装置),使得相关于通过监测器125传递的信号的延迟大致上或者实质上等于相关于处理器中关键路径的延迟。此监测器125还意指关键路径仿真器(CriticalPathEmulator,CPE),将在下文详细描述。当关键路径仿真器用作监测器时,测量跨越监测器的延迟并且比较测量延迟与所期望的目标延迟来取得关于电路性能的指示。例如,假若测量延迟少于目标延迟,则通常可降低操作电压。另一方面,假若测量延迟超过目标延迟,则通常可提高操作电压。相似地,测量延迟足够接近于目标延迟则表示操作电压是对应处理器所执行的应用实质上最佳的或者接近最佳的水平的操作电压。低操作电压一般会降低晶体管的驱动电流,导致较慢的速度。但是,当晶体管已经处于“快”的状态(例如,由于快速工艺或者温度条件),则通常不需要高电压来在高频率下运行。在此状况下,在允许晶体管运行于所需频率时,能够降低操作电压。一些实施方式中,每一关键路径仿真器产生一个读数(readout),反应时钟偏移(skew)、电压、温度以及影响通过关键路径仿真器的延迟的其他变量其中至少之一的效应。一些实施方式中,系统100包含不同类型的监测器125。例如,一个监测器125a是Kogge-Stone加法器而另一监测器125b是关键路径仿真器或者环形振荡器(ringoscillator)。一些实施方式中,每一监测器125都耦接于监测器失能线(monitordisableline)180。控制器110使用相应的监测器失能线180来使至少一监测器125存取总线135失能。一些实施方式中,监测器125包含时序错误监测器。时序错误监测器设置在电路中,例如,触发器中,并且在电路运行前将其配置为失败。时序错误监测器还用于向控制器110警告潜在的危险条件以使得供电电压117能够得到适当的警告以保证没有错误的操作。一些实施方式中,当使用多个监测器125时,多个监测器125可以仿真数据处理器不同部分的多个关键路径。一些实施方式中,控制器110会适当地加权单个监测器的输出。一些实施方式中,将多个监测器125的输出(例如,延迟)平均来决定用于控制电压调节器114的参数。还可以基于监测器的位置来对监测器125的输出进行加权。这是因为关键路径的位置因为应用(及/或操作频率)的改变而改变,并且特定监测器的权重也会因应用的不同而不同。例如,假若已知对于一个特定的应用,特定监测器(例如监测器125a)比其他监测器(例如监测器125b)物理上更接近于关键路径,则在决定用於控制此特定应用的控制器110所用的参数时,给监测器125a的输出的权重比给监测器125b的输出的权重更高。一些实施方式中,还可以忽略监测器125中至少之一的输出。尽管图1显示将监测器125设置于处理器105上,还可以将监测器125设置在系统100中的其他位置,例如,在集成电路上靠近处理器105的周边,但是在处理器105外部的位置。当监测器125设置在处理器105外部时,电源115向处理器105和监测器125提供电压。系统100还包含温度传感器130。温度传感器130用于测量并且提供处理器105热力条件的信息。温度传感器130提供作为相关温度的参考点,用于在此所描述的压控(voltagecontrolled)反馈环路。温度传感器130还用于防止例如热力失控的不良条件。控制器110使用温度传感器130提供的信息来决定用于电压调节器114的控制参数。例如,假如处理器105一特定部分的温度在运行期间上升,则处理器此部分的电压需求可从查找表140提供的值降低。在此状况下,温度信息用于决定是否将供电电压117降低,以及降低多少。模拟以及数字温度传感器都能够用作温度传感器130。一些实施方式中,温度传感器130耦接于温度传感器失能线182。控制器110通过温度传感器失能线182来使温度传感器130失能(disable)。电源115提供操作功率(operatingpower)给处理器105。一些实施方式中,电源115也会提供操作功率给系统100的其他部分,例如,控制器110。一些实施方式中,电源115是直流到直流(DirectCurrent-DirectCurrent,DC-DC)转换器,将外部直流源提供的电压水平转换为另一电压水平。电源115由控制器110控制以使得提供给处理器105的供电电压117是可调整的。当外部电源是电池(例如,用于蜂窝电话或者膝上型电脑的电池)时,电源115通过对电池提供的电压进行转换,来提供必要的供电电压117。电源115采用不同方法来将一个电压水平转换为另一电压水平,例如采用线性转换、切换模式转换、或者磁性转换。电源115可以包含于功率管理单元(PowerManagementUnit,PMU)中。在此状况下,功率管理单元经由例如电源串行接口(PowerSerialPort,PSP)的连接耦接于处理器105。一些实施方式中,电源串行接口有助于电源115以及处理器105之间的高效通信。一些实施方式中,电源115用于快速响应电压改变(例如,5mV/μS)。电源115还传递细粒度(fine-grained)电压分辨率,例如,5mV/步(step)。一些实施方式中,电源115包含切换调节器,例如Buck转换器。系统100还包含共享的总线135,总线135有助于系统100中不同主体(例如处理器105、控制器110以及电源115)之间的通信。监测器125一般还耦接于总线135。一些实施方式中,控制器110作为总线主设备(busmaster),并且控制对监测器125以及电源115的存取。相关于使用总线135的存取时延(latency)一般很小。一范例中,对于122MHz的总线频率,其中每一读/写操作花费3周期,存取时延是8.1nsx3=24.3。因为系统100提供几种具有单一总线主设备的监测器125,还能够给系统100添加额外的监测器而不会明显改变控制器110。这允许依需要来添加或者减少监测器125的可扩展结构。此外,因为监测器125能够使用共享的总线135存取,控制器110能够依需要选择至少一监测器。因此,即使有较大数目的监测器可用时,也能够仅使用较小数目的监测器。一些实施方式中,除去监测器125耦接于总线135之外,监测器125还直接连接于控制器110。一些实施方式中,使用直接连接来降低总线135上的频宽需求。一种直接读取监测器125而无需使用总线的模式意指IRQ模式。IRQ模式中,控制器110使用来自监测器125的中断信号的信息,来(例如以预先决定的量)增加/减少供电电压117,而不是通过总线135读取监测器采样值(sample)。或者,控制器110,一旦接收到中断信号则获取监测器采样值(通过直接连接或者通过总线135获取)并且计算必要的调整。控制器110跟踪处理器105中频率及/或温度的变化并且与电源115通信来控制供电电压117。电压调节器114一般由控制器110配置。控制器110包含,例如,查找表140,至少一配置暂存器(configurationregisters)145,以及状态机(statemachine)150。控制器110还可包含稳定性控制器155,以保证控制器110不会使电源115振荡。特定情况下,能够阻止控制器110对电源115行使任何控制。这意指“旁路”模式(bypassmode)。控制器110可依需要而激活或者关闭(deactivate)旁路模式。例如,为了配置查找表140,控制器110可激活旁路模式。当需要对电源115进行电压调节时,则控制器110可关闭旁路模式。一些实施方式中,将查找表140作为控制器110的一部分储存。其他情况下,将查找表140储存在存储装置外部,但是可由控制器110存取。查找表140储存频率-电压特性。因此,当处理器需要在给定频率运行应用程序时,通过存取查找表140来决定作为供电电压117而提供的相应电压水平。一些实施方式中,查找表140由控制器110硬件来更快地直接存取。但是,也能够由软件存取查找表140。例如,状态机150通过在专用硬件连接上发送查找表读取请求185来从查找表140读取。查找表读取请求185包含:指示所存取的查找表140的频率或者频率范围。查找表140响应查找表读取请求185,使相应的查找表入口(entries)在查找表140的接口(ports)上是可用的。一些实施方式中,查找表140还指示控制器110已经找到相应的查找表入口。软件模式中,将查找表指示指针(lookuptableindexpointer)187返回状态机150。软件模式中,软件加载暂存器中的地址来触发查找表搜索操作。如果找到相应的查找表入口,则将查找表指示指针187返回控制器110。接着,软件基于查找表指示指针187从存储器读取查找表入口。状态机150基于查找表指示指针187存取对应所需频率或者频率范围的查找表入口。一些实施方式中,响应查找表读取请求185,会返回至少一查找表入口。一些实施方式中,查找表140包含针对不同频率的独立的入口。每一入口包含性能目标,例如关键路径仿真器的目标延迟、针对至少一监测器125的编码、以及对应特定频率的电压值。一般而言,查找表140的内容对特定IC芯片类型来说是固定的。可以通过软件或者硬件编码,将查找表140编写进系统100。控制器110包含状态机150来作为控制对总线135存取的总线主设备(BUSmaster)。一般地,状态机150控制来自或者到达控制器110不同部分的信息流。一些实施方式中,控制器110轮询(poll)至少一监测器125来获取有关处理器105当前操作条件的数据,并且与控制器110通信来决定是否需要调整供电电压117。接着,状态机150与电源115通信来依需要调整供电电压117。系统100还包含至少一配置暂存器145,用于配置状态机150或者控制器110的其他部分。例如,使用配置暂存器145来致能控制器110。相似地,使用配置暂存器145指示状态机150存取查找表140来获取相关于特定频率的储存值。一些配置暂存器145的范例在以下的表1中提供。表1:配置暂存器的范例控制器110包含稳定性控制器155。系统100运行在反馈环路中,并且稳定性控制器155保证反馈环路不会进入不稳定的振荡模式。一般而言,稳定性控制器155用于提供反馈环路的稳定性并且防止不需要的表现,例如热力失控。稳定性控制器155补偿不同环境变量的改变。一些实施方式中,稳定性控制器155从状态机150接收监测器采样值192以及触发信号190。稳定性控制器155分析监测器采样值192并且将电压信号194发送回状态机150。例如,仅当满足特定阈值条件时,稳定性控制器155才改变电压信号194的电压水平。此基于阈值的控制能够在由于环境或者噪声的相关原因引起在监测器采样值192发生变化时,防止控制器110对供电电压117进行不必要的调整。系统100还包含锁相环(PhaseLockedLoop,PLL)接口160,管理来自处理器105的频率请求。例如,假若处理器105在一个特定频率执行新的应用,则处理器105通过锁相环接口160,使用专用的频率请求线165,在此特定的频率上与控制器110通信。接着,控制器110存取查找表140来决定电源115是否能够提供所需要的适当电压来在那个特定频率上的执行此应用。假若可从电源115得到合适的供电电压117,则控制器110向处理器105确认此特定的频率能够得到支持。例如,使用频率确认线170经由锁相环接口160来提供此频率确认。系统100对任何需要保存功率的装置都是有用的。例如,系统100包含于移动装置中。移动装置例如为移动电话、平板电脑、膝上型电脑、便携式音频播放器、便携式视频播放器、或者数码相机、电子阅读装置。图2是包含一序列操作来控制系统的操作电压的范例流程200的流程图。本发明一实施方式中,流程200由上述系统100的不同部分执行(请参考图1)。操作包含初始化系统(电压调节系统)(步骤210)。例如,由硬件重置方式完成。一些实施方式中,当系统已初始化时,控制器是失能的(disabled)并且由电源提供最大可能的电压。操作还包含致能控制器(步骤215),调节来自电源的供电电压。一些实施方式中,使用软件致能控制器。但是也能够使用硬件线或者连接来致能控制器。一旦致能控制器,则电压调节系统的状态意指初始状态。一般而言,电压调节系统在初始状态中等待事件的发生(步骤220)。事件的发生(步骤220)使电压调节系统开始从初始状态运行。事件包含,例如,至少一部分集成电路的操作温度改变,或者由于新负载引起的频率改变。此事件的发生使得电压调节系统输出与发生此事件之前所运行的供电电压不同的供电电压。例如,如果开始执行更复杂的应用,则对应此应用之较高频率需求会触发较高之电压需求。或者,如果开始执行较低频率的应用则可以降低供电电压。一些实施方式中,当切换到较高的性能水平(即,较高的频率)时,在改变所运行的电路频率之前达到对应目标频率的最差情况的电压。这能保证操作中的电路无缝地(seamlessly)支持较高的性能水平。在此状况下,在对应较高频率的必要电压可用之前,不会改变操作频率(例如,通过保持锁相环的频率)。当切换到较低频率时,因为较低频率一般不需要较高的操作电压,所以能够立即改变频率。当检测到事件的发生时,操作包含对温度以及频率采样(步骤225)。这包含,例如,读取温度传感器以及至少一监测器的输出。温度传感器以及监测器实质上相似于上文描述的温度传感器130以及监测器125(请参阅图1)。一些实施方式中,在完成电压改变前,会推迟任何软件所进行的在锁相环暂存器中配置新频率的尝试。但是,可以将新的频率值(和来自温度传感器130的温度信息一起)用于存取查找表140。一些实施方式中,通过读取设置在集成电路上的环形振荡器的计数器值来辨识工艺类型(processtype)。操作还包含存取至少一查找表来撷取操作参数(步骤230),操作参数对应于温度以及频率采样值。一些实施方式中,经由专用硬件连接完成查找表存取来降低存取时间,由此降低用于整体反馈环路的响应时间。一些实施方式中,当在控制器发出查找表读取请求时,以指数{频率,温度,工艺当前第1页1 2 3 当前第1页1 2 3 
当前第1页1 2 3 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1