使用等离子体鞘工程的加化蚀刻与沉积剖面控制的制作方法

文档序号:6988290阅读:205来源:国知局
专利名称:使用等离子体鞘工程的加化蚀刻与沉积剖面控制的制作方法
技术领域
本揭示案是有关于等离子体处理(plasma processing),且更明确而言,是有关于等离子体处理装置(plasma processing apparatus)。
背景技术
等离子体处理装置在处理腔室(process chamber)中产生等离子体(plasma),以用于处理由处理腔室中的压板(platen)支撑的工件(workpiece)。等离子体处理装置可包含(但不限于)掺杂系统(doping system)、蚀刻系统(etching system)以及沉积系统 (deposition system)。等离子体通常为离子(ion)(通常具有正电荷)与电子(具有负电荷)的准中性集合(quasi-neutral collection)。等离子体在等离子体的主体(bulk)中具有每公分约0伏特的电场(electric field)。在一些等离子体处理装置中,来自等离子体的离子被朝工件吸引。在等离子体掺杂装置(plasma doping apparatus)中,可用足够的能量来吸引离子,以将其植入工件的实体结构(physical structure)(例如在一个例子中为半导体基板(semiconductor substrate))中。等离子体由接近工件的通常被称为等离子体鞘(plasma sheath)的区域定界。等离子体鞘是与等离子体相比具有较少电子的区域。来自此等离子体鞘的光发射的强度小于等离子体,这是因为存在较少电子,且因此鲜有激发_驰豫碰撞(excitation-relaxation collision)发生。因此,等离子体鞘有时被称为“暗区(dark space)”。转向图1,说明已知等离子体处理装置的多个部分的横截面图,其中等离子体140 具有等离子体鞘142,其邻近待处理的工件138的前表面。工件138的前表面界定平面151, 且工件138由压板134支撑。等离子体140与等离子体鞘142之间的边界141平行于平面 151。来自等离子体140的离子102可越过等离子体鞘142被朝工件138吸引。因此,朝工件138加速的离子102通常相对于平面151以0°的入射角(angle of incidence)(例如, 垂直于平面151)撞击工件138。入射角可能存在小于约3°的较小角展(angular spread)。 另外,藉由控制等离子体处理参数(plasma process parameter)(诸如处理腔室内的气体压力),可使所述角展增加至多达约5°。已知等离子体处理的缺点为缺乏对离子102的角展控制。随着工件上的结构变小,且随着三维结构变得更常见(例如沟槽式电容器(trench capacitor)、垂直通道晶体管(vertical channel transistor),诸如FinFET),具有较大的角度控制将是有益的。举例而言,图1中为说明的清楚起见而展示具有夸大尺寸的沟槽(trench) 144。在以约0°的入射角或甚至至多达5°的角展来引导离子102的情况下,可能难以均勻地处理沟槽144的侧壁(sidewall) 147。因此,需要一种等离子体处理装置,其克服上述不足及缺点。

发明内容
藉由本文所揭示的等离子体处理方法来克服现有技术的问题。在某些实施例中,使用等离子体处理工具在工件上沉积材料。举例而言,揭示一种用于材料的保形 (conformal)沉积的方法。在此实施例中,等离子体鞘形状经修改以允许材料以某一入射角范围冲击工件。藉由随时间过去而改变此入射角范围,可在上面沉积多种不同特征。在另一实施例中,使用等离子体处理工具来蚀刻工件。在此实施例中,等离子体鞘形状经更改以允许离子以某一入射角范围冲击工件。藉由随时间过去而改变此入射角范围,可形成多种不同形状的特征。


为了更清楚理解本揭示案,伴随图式做为参考,其中相同的组件以相同的标号表示图1为与现有技术一致的已知等离子体处理装置的简化方块图。图2为与本揭示案的实施例一致的等离子体处理装置的方块图。图3为与本揭示案的实施例一致的等离子体掺杂装置的方块图。图4为用以控制等离子体与等离子体鞘之间的边界的形状的一对绝缘体的横截面图。图5为与图4 一致的横截面图,其说明越过图4的边界而加速的离子的离子轨迹。图6为图5的离子轨迹的角离子分布的曲线图。图7为用以控制一对绝缘体与工件之间的垂直间距的系统的方块图。图8为与图7 —致的横截面图,其说明不同垂直间距处的离子轨迹横截面图。图9为用以控制一对绝缘体之间的水平间距的系统的方块图。图10为与图9 一致的横截面图,其说明不同水平间距处的离子轨迹。图11为具有用以使一对绝缘薄片相对于工件而移动的扫描系统的等离子体处理装置的方块图。图12为图11的绝缘薄片的平面图,其绘示绝缘薄片与圆盘形工件之间的相对移动。图13为与图11 一致的具有多个绝缘体的扫描系统的方块图。图14为与本揭示案的第二实施例一致的等离子体处理装置的方块图。图15为用以控制等离子体与等离子体鞘的间的边界的形状的两个绝缘体的横截面图。图16为图15的离子轨迹的角离子分布的曲线图。图17为用以控制一组绝缘体与工件之间的垂直间距的系统的方块图。图18为与图17 —致的横截面图,其说明第一垂直间距处的离子轨迹。图19为与图17 —致的横截面图,其说明第二垂直间距处的离子轨迹。图20为用以控制绝缘体之间的水平间距的系统的方块图。图21为与图20 —致的横截面图,其说明负水平间距处的离子轨迹。图22为用以控制等离子体与等离子体鞘之间的边界的形状的三个绝缘体的横截面图。图23为图22的离子轨迹的角离子分布的曲线图。图24a至图24c为绝缘修改器的额外实施例的横截面图。
图25为具有用以使绝缘薄片相对于工件而移动的扫描系统的等离子体处理装置的方块图。图26为图25的绝缘薄片的平面图,其绘示绝缘薄片与圆盘形工件之间的相对移动。图27为与图25 —致的具有多个绝缘体的扫描系统的方块图。图28a说明自等离子体至工件的传统离子路径。图28b说明窄三维特征的保形沉积。图28c说明宽、浅三维特征的保形沉积。图29a绘示与图28a相关联的离子角分布。图29b绘示与图28b相关联的离子角分布。图29c绘示与图28c相关联的离子角分布。图30a至图30f绘示各种入射角对沉积的影响。图31a说明在三维特征中具有气隙的材料的沉积。图31b绘示与图30a相关联的离子角分布。图32a说明具有垂直侧壁的三维特征的蚀刻。图32b说明具有向内成锥形的侧壁的三维特征的蚀刻。图32c说明可使用图29b的离子角分布形成的特征形状。图32d说明可使用图29c的离子角分布形成的特征形状。图33a说明具有向外成锥形的侧壁的三维特征的蚀刻。图33b说明可使用图30b的离子角分布形成的特征形状。图33c说明可使用图30b的离子角分布形成的特征形状。图33d说明可使用图30b的离子角分布形成的特征形状。
具体实施例方式图2为与本揭示案的实施例一致的具有绝缘修改器208的等离子体处理装置200 的方块图。绝缘修改器208经组态以修改等离子体鞘242内的电场,以控制等离子体140 与等离子体鞘242之间的边界241的形状。因此,越过等离子体鞘242自等离子体140吸引的离子102可以一入射角范围撞击工件138。本文可进一步将等离子体处理装置200描述为等离子体掺杂装置。然而,等离子体处理装置200亦可包含(但不限于)蚀刻及沉积系统。此外,等离子体掺杂系统可对经处理的工件执行许多不同材料修改处理。一种此类处理包含用所要掺杂剂来掺杂工件(诸如半导体基板)。等离子体处理装置200可包含处理腔室202、压板134、源206以及绝缘修改器 208。压板134定位于处理腔室202中,用于支撑工件138。工件可包含(但不限于)半导体晶圆、平坦面板、太阳电池板(solar panel)以及聚合物基板。在一实施例中,半导体晶圆可具有圆盘形状,其具有300毫米(mm)的直径。如此项技术中已知,源206经组态以在处理腔室202中产生等离子体140。在图2的实施例中,绝缘修改器208包含一对绝缘体 212及214,其之间界定间隙,所述间隙具有水平间距(G)。在其他实施例中,绝缘修改器可仅包含一个绝缘体。此对绝缘体212及214可为具有薄的平坦形状的一对薄片。在其他实施例中,此对绝缘体212及214可为其他形状,诸如管形、楔形(wedge shaped),且/或具有接近所述间隙的倾斜边缘。在一实施例中,由此对绝缘体212及214界定的间隙的水平间距可为约6. 0毫米 (mm)。此对绝缘体212及214亦可定位在由工件138的前表面界定的平面151上方的垂直间距(vertical spacing) (Z)处。在一实施例中,所述垂直间距(Z)可为约3. 0mm。在操作中,气体源(gas source) 288将可离子化气体(ionizable gas)供应至处理腔室202。可离子化气体的实例包含(但不限于)BF3> BI3、N2、Ar、PH3> AsH3、B2H6, H2、Xe、 Kr、Ne、He、SiH4, SiF4, GeH4、GeF4、CH4、CF4, AsF5, PF3 及 PF5。源(source) 206 可藉由激发及离子化提供至处理腔室202的气体而产生等离子体140。可藉由不同机制越过等离子体鞘 242自等离子体140吸引离子。在图2的实施例中,偏压源(bias source) 290经组态以加偏压于工件138,以越过等离子体鞘242自等离子体140吸引离子102。偏压源290可为用以提供DC电压偏压信号的DC电源,或用以提供RF偏压信号的RF电源。有利的是,绝缘修改器208修改等离子体鞘242内的电场,以控制等离子体140与等离子体鞘242之间的边界241的形状。在图2的实施例中,绝缘修改器208包含一对绝缘体212及214。绝缘体212、214可由石英、氧化铝、氮化硼、玻璃、氮化硅等制造。等离子体140与等离子体鞘242之间的边界241可相对于平面151具有凸形状。当偏压源290加偏压于工件138时,离子102以较大的入射角范围被越过等离子体鞘242而吸引穿过绝缘体212与214之间的间隙。举例而言,遵循轨迹路径(trajectory path) 271的离子可相对于平面151以+ θ °的角度撞击工件138。遵循轨迹路径270的离子可相对于同一平面151 以约0°的角度撞击工件138。遵循轨迹路径269的离子可相对于平面151以-θ °的角度撞击工件138。因此,入射角的范围可在以约0°为中心的+ θ°与-θ°之间。另外,一些离子轨迹路径(诸如路径269及271)可彼此交叉。视若干因数(包含但不限于,绝缘体 212与214之间的水平间距(G)、绝缘体在平面151上方的垂直间距(Z)、绝缘体212及214 的介电常数(dielectric constant)以及其他等离子体处理参数)而定,入射角(θ )的范围可在以约0°为中心的+60°与-60°之间。因此,工件138上的较小的三维结构可由离子102均勻地处理。举例而言,与图1的情形相比,沟槽244的为说明的清楚起见而具有夸大尺寸的侧壁247可由离子102更均勻地处理。转向图3,说明一例示性等离子体掺杂装置300的方块图。与图2的装置一致,等离子体掺杂装置300具有一对绝缘体212及214,以控制等离子体140与等离子体鞘242之间的边界241的形状。等离子体掺杂装置300包含处理腔室202,其界定封闭体(enclosed volume) 3030 气体源304经由质量流量控制器(mass flow controller) 306向处理腔室302的封闭体 303提供主要掺杂剂气体(primary dopant gas)。气体隔板(gas baffle) 370可定位于处理腔室202中,以使来自气体源304的气体流偏转。压力计(pressure gauge) 308量测处理腔室202内的压力。真空泵(vacuum pump) 312经由排气口(exhaust port)310抽空来自处理腔室202的排气。排气阀(exhaust valve) 314控制经由排气口 310的排气传导性 (exhaust conductance)。等离子体掺杂装置300可进一步包含气体压力控制器(gas pressure controller) 316,其电连接至质量流量控制器306、压力计308及排气阀314。气体压力控制器316可经组态以藉由在回应于压力计308的回馈环路中用排气阀314控制排气传导性或用质量流量控制器306控制处理气体流动速率,来维持处理腔室202中的所要压力。处理腔室202可具有腔室顶部(chamber top) 318,其包含第一区段(first section) 320,所述第一区段320由介电材料形成,且在大体水平方向上延伸。腔室顶部318 亦包含第二区段(second SeCti0n)322,其由介电材料形成,且在大体垂直方向上自第一区段320延伸一高度。腔室顶部318进一步包含盖(lid)324,其由导电且导热的材料形成,且在水平方向上延伸越过第二区段322。等离子体掺杂装置进一步包含源301,其经组态以在处理腔室202内产生等离子体140。源301可包含RF源350,诸如电源,用以将RF功率供应至平面天线(planar antenna) 326及螺旋天线(helical antenna) 346中的一者或两者,以产生等离子体140。 RF源350可藉由阻抗匹配网络(impedance matching network) 352耦合至天线326、346, 阻抗匹配网络352使RF源350的输出阻抗与· · · 天线326、346的阻抗匹配,以便使自 RF源350转移至· · 天线326、346的功率增至最大。等离子体掺杂装置亦可包含偏压电源(bias power supply) 390,其电耦合至压板134。等离子体掺杂系统可进一步包含控制器356及用户界面系统(user interface system) 358。控制器356可为或包含通用电脑(general-purpose computer)或通用电脑的网络,其可经程式化以执行所要的输入/输出功能。控制器356亦可包含通信设备、数据储存设备及软件。用户界面系统358可包含诸如触控式屏幕、键盘、使用者指点设备(user pointing device)、显示器、打印机等设备,以允许使用者经由控制器356输入命令及/或数据,且/或监视等离子体掺杂装置。屏蔽环(shield ring) 394可安置于压板134周围, 以改良工件138的边缘附近的所植入离子分布的均勻性。亦可将诸如法拉第杯(Faraday cup) 399的一或多个法拉第感测器定位于屏蔽环394中,以感测离子束电流。在操作中,气体源304供应含有所要掺杂剂的主要掺杂剂气体,以供植入工件138 中。源301经组态以在处理腔室302内产生等离子体140。源301可由控制器356控制。 为了产生等离子体140,RF源350使· · · ·天线326、346中的至少一者中的RF电流谐振,以产生振荡磁场。所述振荡磁场将RF电流感应至处理腔室202中。处理腔室202中的 RF电流激发并离子化主要掺杂剂气体,以产生等离子体140。偏压电源390提供具有脉冲接通(ON)及断开(OFF)周期的经脉冲的压板信号,以加偏压于压板134,且因此加偏压于工件138,以使来自等离子体140的离子越过等离子体鞘242朝工件138加速。离子102可为带正电的离子,且因此经脉冲的压板信号的脉冲接通周期可相对于处理腔室202为负电压脉冲,以吸引带正电的离子102。可选择经脉冲的压板信号的频率及/或脉冲的工作周期,以提供所要的剂量率。可选择经脉冲的压板信号的振幅,以提供所要的能量。有利的是,此对绝缘体212及214控制等离子体140与等离子体鞘242之间的边界241的形状,如先前相对于图2详述。因此,可以较大的入射角范围越过等离子体鞘242 吸引离子102穿过绝缘体212与214之间的间隙,以用于掺杂工件138。转向图4,说明此对绝缘体212及214以及工件138的局部横截面图,其绘示等离子体鞘242中围绕由绝缘体212及214界定的间隙的电场线。所述电场线以及等离子体与等离子体鞘242之间的所得弓形边界241得自电脑模拟,其中工件138在-2,000伏特下加
8偏压,且绝缘体212及214由玻璃制造。如所说明,围绕所述间隙的弓形边界241可进一步具有相对于平面151的凸形状。图5为与图4 一致的横截面图,其说明越过等离子体鞘242而加速穿过绝缘体212 与214之间的间隙的模拟离子轨迹。在等离子体掺杂装置中,离子可因边界241的形状以及等离子体鞘242内的电场线而在间隙间距的中心区域中植入工件138中。举例而言,在绝缘体212与214之间的总水平间距(Gl)中,离子围绕中心水平间距(G3)撞击工件138。 在此实施例中,无离子围绕接近绝缘体212及214的周边水平间距(G2)及(G4)撞击工件。图6是与图5所说明的离子轨迹一致的撞击工件138的离子的入射角分布的曲线 602。如图所示,曲线602显示入射角以约0°为中心,且在自约+60°至-60°的较大角范围上改变。此较大入射角范围达成三维结构的保形(conformal)掺杂。举例而言,可用具有此较大入射角范围的离子更均勻地掺杂沟槽结构的侧壁。转向图7,说明与本揭示案一致的另一实施例的方块图,其中可调整绝缘修改器与由工件138的前表面界定的平面151之间的垂直间距(Z)。绝缘修改器可为此对绝缘体 212及214,如在其他实施例中详述。致动器(actuator) 702可机械耦合至此对绝缘体212 及214,以在如由箭头720、722所示的相对于平面151的垂直方向上驱动绝缘体。此对绝缘体212及214相对于平面151且亦相对于彼此的Z位置影响等离子体与等离子体鞘之间的边界的形状,且亦影响撞击工件138的离子的轨迹。致动器702可由控制器(诸如控制器 356)控制。图8为与图7 —致的横截面图,用以说明在所有其他参数相等的情况下,在此对绝缘体212及214相对于平面151的不同Z位置处的离子轨迹。在第一相对较短的Z间隙位置820中,绝缘体212、214定位于平面151上方第一距离(Zl)处。在相比而言较高的Z间隙位置840处,绝缘体212、214定位于平面151上方第二距离(Z2)处,其中(Z2) > (Zl)。 在第一位置820中,等离子体与等离子体鞘之间的边界841具有相对于平面151的凸形状。 边界841亦具有近似接近圆的圆周的一部分的形状的形状,其中弓形形状的顶点在绝缘体 212的顶部表面上方一距离(Za)处。相反,第二位置840中的边界843具有较浅形状,其中弓形形状的顶点在绝缘体212的顶部表面上方较短距离(Zb)处,或其中(Zb) < (Za)。与 Z间隙距离(Zl)及(Z2)组合的边界841、843的形状以及等离子体鞘中的电场线影响撞击工件138的离子的角展。举例而言,以相对较短的Z间隙位置820撞击工件138的离子的角展大于以相对较长的Z间隙位置撞击工件138的离子的角展。另外,与具有较高Z间隙位置的水平间距(G6)相比,离子撞击工件138的具有较短Z间隙位置820的较宽水平间距 (G5),其中(G6) < (G5)。尽管图8中未说明,但每一绝缘体212及214的Z间隙位置亦可彼此不同,以进一步影响等离子体与等离子体鞘之间的边界的形状,且因此影响离子的角展。转向图9,说明与本揭示案一致的另一实施例的方块图,其中可调整绝缘体212与 214之间的水平间距(G)。水平间距调整可代替或加上图8及图9早先详述的垂直间距调整。致动器902可机械耦合至此对绝缘体212及214中的至少一者,以在由箭头906所示的方向上,相对于彼此驱动绝缘体。致动器902可由控制器(诸如控制器356)控制。图10为与图9 一致的横截面图,用以说明在所有其他参数相等的情况下,在绝缘体212与214之间的不同水平间隙间距下的离子轨迹。在第一相对较短水平间隙位置1020中,绝缘体212、214定位为彼此相距第一水平距离(Ga)。在相比而言较长的水平间隙位置 1040中,绝缘体212、214定位为彼此相距第二水平距离(Gb),其中(Gb) > (Ga)。在第一位置1020中,等离子体与等离子体鞘的间的边界1041具有相对于平面151的凸形状。边界1041亦具有近似接近圆的圆周的一部分的形状的形状。相反,第二位置1040中的边界 1043具有相对于平面151的凸形状,其中边界1043的中心部分大约平行于平面151。因此, 用相对于平面151具有约0°的入射角的离子撞击工件138的较大对应中心部分。图11为具有用以相对于工件138驱动绝缘修改器208的扫描系统1102的等离子体处理装置1100的方块图。在图11的实施例中,绝缘修改器208包含一对正方形绝缘薄片1112及1114,其为最佳(在图12中可见)。扫描系统1102可包含致动器1104,其机械耦合至绝缘薄片1112及1114,以驱动所述绝缘薄片1112及1114。致动器1104可由控制器(诸如控制器356)控制。图12为正方形绝缘薄片1112及1114以及圆盘形工件138的平面图,用以说明其间的相对移动的一实例。在图12的实施例中,扫描系统1102可自位置A至位置B及位置 C等驱动正方形绝缘薄片1112及1114,使得工件138的所有部分暴露于由此对正方形绝缘薄片1112及1114界定的间隙。若笛卡尔座标系统(Cartesian coordinate system)如图 12中详述般界定,则在图12的X方向上驱动绝缘薄片1112及1114。在其他实施例中,可在Y方向上或以X与Y方向之间的任何角度驱动绝缘薄片1112及1114或另一组不同的绝缘薄片。另外,工件138可随着扫描系统1102在一个方向上驱动绝缘薄片1112及1114而旋转。工件138亦可在扫描系统1102在一个方向上驱动绝缘薄片之后旋转一预定旋转角度。在一实例中,所述旋转可围绕工件的中心轴,如由箭头1124所说明。转向图13,说明与图11 一致的扫描系统1102。与图11相比,图13的扫描系统 1102包含多个绝缘体1302-1、1302-2、1302-3、…、1302_(η_1)以及1302-η,在其之间界定多个间隙1303-1、1303-2、…、1303_η。扫描系统可相对于工件138驱动所述多个绝缘体 1302-1、1302-2、1302-3、...、1302-(η_1)以及 1302-η,因此所述多个间隙 1303-1、 1303-2、…、1303-η 经过工件 138。图14绘示图2的等离子体处理装置的第二实施例。如上文所述,等离子体处理装置200可包含处理腔室202、压板134、源206以及绝缘修改器248。在图14的实施例中,绝缘修改器248包含绝缘体252及254,在其之间界定具有水平间距(G)的间隙。在其他实施例中,绝缘修改器248可仅包含一个绝缘体。在一个实施例中,由绝缘体252及254界定的间隙的水平间距可在约1毫米(mm)与60mm之间,视鞘厚度及所要的角分布而定。绝缘体252及254亦可定位于由工件138的前表面界定的平面151上方的垂直间距(Z1、12)处。在一实施例中,较靠近的垂直间距(Zl)可在约Imm与IOmm之间。在一些实施例中,绝缘体之间的高度差异(亦即,Z2-Z1)可在约Omm与40mm之间,视鞘厚度及所要的角分布而定。虽然图14在大于绝缘体254的垂直高度处绘示绝缘体252,但若需要,绝缘体254可具有大于绝缘体252的垂直高度。两个绝缘体之间的垂直高度的差异形成相对于平面151的间隙角度。藉由形成平面257来量测间隙角度,平面257穿过绝缘体252的最靠近鞘且接近间隙的边缘,以及绝缘体254的最靠近鞘且接近间隙的边缘。平面257与平面151之间的角度界定间隙角度(Ψ)。 在一些实施例中,沿平面257,而非沿水平方向,量测间隙宽度(gap width) (δ)。间隙宽度
1(δ )根据以下等式与水平间距(horizontal spacing) (G)有关δ =G/cos(W),其中Ψ为间隙角度。间隙宽度(δ )可在Omm与40mm之间。在一些实施例中,水平间距可为0,或甚至为负(其在绝缘体彼此重叠时达成)。与Omm或负水平间距结合的 Z2-Z1的较大差异可用于形成非常大的中心角,诸如大于80°。如下文将更详细地描述,所揭示的装置可用于形成离子的角分布。这些角分布 (诸如图16及图23中所示的角分布)可由两个参数表征。第一参数为中心角,其为形成角分布的中心的角度。将中心角界定为自与平面151的正交的角偏差。换言之,垂直于平面 151撞击的离子被称为具有0°的中心角。随着入射角变得更平行于平面151,其值增加。在图16中,中心角对应于约45°。在图23中,存在两个中心角,在-45°及+45° 处。所关注的第二参数为角展,或角范围。此为离子围绕中心角的分布。换言之,所有离子并非以同一角度撞击工件。相反,离子以具有围绕中心角的角分布的情形到达。在图16中, 角度的分布大约自35°至55° ;进而具有约20°的角展(或范围)。类似地,图23的角展 (或角分布)为约20°。间隙角度(Ψ)有助于界定中心角。为形成不垂直于工件平面151的中心角(亦即,非零中心角),间隙角度(Ψ)可为非零。换言之,非零间隙角度(Ψ)暗示间隙平面257 不平行于工件平面151。藉由具有非零间隙角度(Ψ),中心角改变,以便不垂直工件平面 151。较大的间隙角度(亦即,大于30° )通常形成较大的中心角偏差(亦即,大于30° )。 较小的间隙角度(亦即,当间隙平面257与工件平面151几乎平行时)产生较小的中心角 (亦即,小于10° )。等离子体140与等离子体鞘242之间的边界241可相对于平面151具有不规则形状。当偏压源290加偏压于工件138时,离子102以较大的中心角范围越过等离子体鞘242 被吸引穿过绝缘体252与254之间的间隙。举例而言,离子可相对于平面151以+ θ °的非零中心角撞击工件138。若绝缘体的垂直间距反转,则离子可相对于平面151以-θ °的非零中心角撞击工件138。因此,入射角的范围可以约θ°为中心,其中θ在-80°与80° 之间。视若干因数(包含但不限于绝缘体252与254之间的水平间距(G)、绝缘体在平面 151上方的垂直间距(Ζ1、Ζ2)、间隙宽度(δ)、间隙角度(Ψ)、垂直间距差异(Ζ2-Ζ1)、绝缘体252及254的介电常数、绝缘体252及254的介电厚度以及其他等离子体处理参数)而定,可修改入射角(Θ)的范围及中心。举例而言,角分布可在+5度与-5度之间,而中心角可在-80°与+80°之间。在其他实施例中,角分布可更大(或更小)。类似地,可修改中心角以达成其他值。因此,工件138上的较小三维结构可由离子102均勻地处理。图15为横截面图,其说明越过等离子体鞘242而加速穿过绝缘体252与254之间的间隙的模拟离子轨迹。在等离子体掺杂装置中,离子可因边界241的形状以及等离子体鞘242内的电场线而在间隙间距的中心区域中植入工件138中。举例而言,归因于两个绝缘体252、254之间的垂直间距差异,离子在空间(G7)中以非零角度撞击工件。另外,在此实施例中,少数离子在空间G7外撞击接近绝缘体的工件。图16是与图15所说明的离子轨迹一致的撞击工件138的离子的入射角分布的曲线603。如图所示,曲线603显示入射角以约45度的非零中心角为中心,围绕此中心角具有约20度的角分布。在其他实施例中,中心角可在-80度与+80度之间变化,且围绕中心角的角分布可自约+20度至-20度变化。此入射角范围达成三维结构的保形掺杂。藉由改变间隙宽度(δ )、绝缘体之间的间距(Ζ2-Ζ1)以及绝缘体相对于工件的位置(Zl),中心角及角分布可经修改,以达成较宽的数值范围,包含(但不限于)具有较小角分布(亦即,小于5° )的较大中心角(亦即,大于60° )、具有较大角分布(亦即,大于 10° )的较大中心角(亦即,大于60° )、具有较大角分布(亦即,大于10° )的较小中心角(亦即,小于40° )以及具有较小角分布(小于5° )的较小中心角(小于40° )。转向图17,说明与本揭示案一致的另一实施例的方块图,其中可调整绝缘修改器与由工件138的前表面界定的平面151之间的垂直间距(Ζ1、Ζ2)。绝缘修改器可为绝缘体 252及254,如在其他实施例中详述。致动器703a、703b可分别机械耦合至绝缘体252及 254,以在如由箭头730、732所示的相对于平面151的垂直方向上驱动绝缘体。绝缘体252 及254相对于平面151且亦相对于彼此的Z位置影响等离子体与等离子体鞘之间的边界的形状,且亦影响撞击工件138的离子的轨迹。致动器703a、703b可由控制器(诸如控制器 356a、356b)控制。在其他实施例中,使用单一控制器来控制两个致动器703a、703b。图18及图19为与图17—致的横截面图,用以说明在所有其他参数相等的情况下,在绝缘体252及254相对于平面151的不同Z位置处的离子轨迹。在图18中,绝缘体 252、254垂直间隔开距离(Z2a-Zl)。在图19中,使用第二垂直间距(Z2b_Zl)来定位绝缘体252、254,其中Z2b>Z2a。因此,间隙角度(Ψ)在图19中较大。在图18中,等离子体与等离子体鞘之间的边界863相对于平面151具有大致凸形状。相反,在图19中,边界963 具有较浅形状。边界863、963的形状结合Z间隙距离(Zl)及(Z2a、Z2b)、间隙角度(Ψ)以及等离子体鞘中的电场线影响离子撞击工件138的中心角。举例而言,以相对较短的垂直间距(较小间隙角度)撞击工件138的离子的中心角比图19中所示的以相对较大的垂直间距(较大间隙角度)撞击工件138的离子的中心角更靠近零度(亦即,更靠近以垂直角度撞击工件)。在另一实施例中,绝缘体之间的垂直间距(Z2-Z1)得以维持,而Zl改变。此情形具有使绝缘体更靠近(或远离)工件而移动且同时维持间隙角度(Ψ)的作用。在此实施例中,中心角保持恒定,而角分布随着Zl改变而改变。在一些实施例中,角分布随着Zl减小而增加,而所述分布随着Zl增加而减小。换言之,例如,Zl的一个值可导致围绕中心角的5°至10°的角分布,而Zl的较小值可导致20°至30°的角分布。此效应可归因于等离子体与等离子体鞘之间的边界的形状的改变,其随着绝缘体相对于工件移动而改变。转向图20,说明与本揭示案一致的另一实施例的方块图,其中可调整绝缘体252 与254之间的水平间距(G)。水平间距调整可代替或加上先前详述的图18及图19的垂直间距调整。致动器912可机械耦合至绝缘体252及254中的至少一者,以在由箭头916所示的方向上相对于彼此驱动绝缘体。致动器912可由控制器(诸如控制器356)控制。水平间距(G)的修改影响间隙宽度(δ)及间隙角度(Ψ)两者。在一实施例中,绝缘体之间的水平间隙间距(G)是变化的。水平间隙间距的修改可用于影响中心角及角分布两者。举例而言,若水平间隙间距减小至0,或藉由使绝缘体重叠而为负,如图21中所示,则中心角可变得非常大。较小的正水平间隙间距将导致较大的间隙角度(Ψ),视Ζ2及Zl的值而定,从而导致较大的中心角。较大的正水平间隙间距将减小间隙角度(Ψ),从而导致较小的中心角。
可使用图22所示的组态来形成诸如图23所示的双峰式角展(bimodal angular spread) 1200。双峰式角展涉及具有第一角分布的第一中心角以及具有第二角分布的第二中心角。亦可藉由改变仅两个绝缘体的相对垂直位置(诸如图15所说明)来形成此双峰式角展。在图22的实施例中,使用至少三个绝缘体1400、1402、1404。藉由将外部两个绝缘体1400、1404配置于同一垂直平面(Z2)上,且维持所述绝缘体之间的相同水平间距G8、 G9,有可能形成对称的双峰式角展1200,以约+/-θ °为中心。如上文所述,可藉由改变外部绝缘体1400、1404与中间绝缘体1402之间的垂直间距来修改中心角,以便改变间隙角度 (Ψ)。可藉由改变绝缘体1400、1402、1404之间的水平间距(G8、G9)来修改角展,以便改变间隙宽度(S)。可藉由使Z2a不同于Z2b、藉由选择G8不同于G9或上述两个动作的组合, 来形成非对称分布。虽然前述实施例将绝缘体绘示为平面的,但此并非本揭示案的要求。图24a至图 24c绘示绝缘体的若干其他实施例。图24a绘示倒置“V”形绝缘体组态。如上文所述,等离子体鞘遵循绝缘体的形状。因此,鞘形成对应的倒置“V”形状。绝缘体1500中的间隙允许离子经过绝缘体。倒置“V”的斜率(如由Φ界定)界定离子分布的中心角。在此实施例中,间隙角度(Ψ)将为Φ的余角(complement)。间隙Ge、Gd分别界定角展α 1、α 2。如在将图24a与图24b进行比较时可见,较大的间隙宽度(诸如Ge)比较窄的间隙宽度Gd允许更大的角展(亦即,α1> α 2)。图24c说明另一实施例,其中绝缘体1502是非线性的、 弯曲的或曲线的,使得间隙宽度Ge与工件138成一角度。如上文所阐释,间隙角度决定中心角,而间隙的宽度决定角展。其他实施例亦为可能的,且在本揭示案的范畴内。举例而言,在一些实施例中,使用两个或两个以上绝缘体,其中所述绝缘体间隔开,以便在其间形成间隙。绝缘体之间的间隙允许离子穿过而到达工件。在其他实施例中,使用单一绝缘体,其中具有至少一个开口或间隙,而离子可穿过所述开口或间隙。当开发系统时,存在若干考虑因素。较高的间隙角度(Ψ)导致离子分布的较大中心角。开口沿平面257的长度界定间隙的宽度(δ)。间隙宽度(δ)影响离子分布的角展。 重要的是,注意此两个变数彼此独立。换言之,可在不改变间隙宽度(S)的情况下修改间隙角度(Ψ)。类似地,可在不影响间隙角度(Ψ)的情况下改变间隙宽度(S)。另一所关注变数为自间隙(或任一绝缘体)至工件138的距离。此外,此变数可独立于其他两个变数而改变。独立的水平及垂直致动器(见图17及图20)的使用允许判定这些参数时的最大灵活性。图25为具有用以相对于工件138驱动绝缘修改器248的扫描系统1602的等离子体处理装置1600的方块图。在图25的实施例中,绝缘修改器248包含正方形绝缘薄片1612 及1614,其为最佳(在图26中可见)。扫描系统1602可包含一或多个致动器1604,其机械耦接至绝缘薄片1612及1614,以在垂直及水平方向上驱动所述绝缘薄片1612及1614。致动器1604可由控制器(诸如控制器356)控制。图26为正方形绝缘薄片1612及1614以及圆盘形工件138的平面图,用以说明其间的相对移动的一实例。在图26的实施例中,扫描系统1602可自位置A至位置B及位置 C等驱动正方形绝缘薄片1612及1614,使得工件138的所有部分暴露于由正方形绝缘薄片 1612及1614界定的间隙。若笛卡尔座标系统如图26中详述般界定,则在图26的X方向上驱动绝缘薄片1612及1614。在其他实施例中,可在Y方向上或以X与Y方向之间的任何角度驱动绝缘薄片1612及1614或另一组不同的绝缘薄片。另外,工件138可随着扫描系统 1602在一个方向上驱动绝缘薄片1612及1614而旋转。工件138亦可在扫描系统1602在一个方向上驱动绝缘薄片之后旋转一预定旋转角度。在一实例中,所述旋转可围绕工件的中心轴,如由箭头1624所说明。尽管将图25的扫描系统绘示为具有彼此相距一垂直间距的两个绝缘板,但其他实施例是可能的。举例而言,可使用三个绝缘板来形成扫描系统,进而形成两个间隙,如图 22所示。另外,可在扫描系统中使用替代形状(诸如图24a至图24c所示的形状)。此外, 这些图中所示的图案可复制,使得工件的宽度或长度上存在多个间隙。在一些实施例中,所有间隙产生相同的角分布(如图15至图16所示)。在其他实施例中,所述间隙以+/_ θ ° 产生相反分布(如图22至图23所示)。在其他实施例中,所述间隙用于产生变化的角分布。在此实施例中,工件所经历的最终角分布将为各种角分布的总和。转向图27,说明与图25 —致的扫描系统1602。与图25相比,图27的扫描系统 1602包含多个绝缘体1702-1、1702-2、1702-3、…、1702_(η_1)以及1702-η,在其之间界定多个间隙1703-1、1703-2、…、1703_η。扫描系统可相对于工件138驱动所述多个绝缘体
1702-1、1702-2、1702-3、...、1702-(η-1)及1702-η,因此所述多个间隙 1703_1、1703_2、…、
1703-η经过工件138。可藉由改变间隙间距或藉由改变垂直间距(Ζ2-Ζ1)来进行间隙角度(Ψ)的修改。 对间隙角度的改变可影响中心角。可藉由改变绝缘体的高度(ZI)或藉由改变间隙间距来进行角分布的修改。可使用对所有三个参数(Ζ2、Zl及间隙间距)的修改来形成具有所要角分布或角展的所要中心角。另外,冷却绝缘体252及254可为有益或有利的。在一些实施例中,这些绝缘体可具有嵌入其中的通道,藉此流体(诸如液体或气体)可经过,以移除热量。在其他实施例中, 绝缘体可为良好的热导体,且可与散热片(thermal sink)接触。如上文所提及,鞘修改可用以执行多种等离子体处理步骤。举例而言,沉积或蚀刻制程可利用这些技术。举例而言,关于沉积,在半导体处理中通常有必要在高纵横比 (aspect ratio)间隙中沉积保形(亦即,相等厚度)膜。在此处理中,来自等离子体的材料沉积于工件的表面上,进而在工件顶上形成膜。 此步骤可进行若干次以形成不同的膜厚度。较难在三维特征上沉积,因为所述特征的表面可能相对于工件的顶面为垂直的。较大的纵横比甚至更难以在上面进行沉积。将纵横比界定为特定特征(诸如间隔物(spacer)或沟槽)的深度(或高度)除以其宽度。在元件几何形状缩小时,对高纵横比空间(其中高纵横比被界定为大于3.0 1)的无空隙填充 (void-free filling)由于现存沉积制程中的限制而变得愈加困难。在沟槽及间隔物中形成衬料(liner)是这些困难制程的实例。将低介电常数膜整合至铜镶嵌堆迭中的主要挑战是在沟槽处,尤其在侧壁处,密封多孔介电膜与导电铜扩散障壁之间的界面。等离子体增强化学气相沉积、(Plasma Enhanced Chemical Vapor Deposition, PECVD)是可用以形成这些衬料的一种可能制程。然而,直视(line-of-sight)制程的效能受三维特征的纵横比限制。随着纵横比增加,密封制程的覆盖率降低,且因此效能降低。
为沟槽加衬料仅为需要对传统PECVD制程的修改的等离子体制程的一实例。沉积经掺杂(掺杂有硼或磷)的硅膜以形成三维元件是另一实例。在内连线层级处形成气隙 (air gap)是又一实例。图28b至图28c绘示多种三维特征,其可使用本揭示案中所描述的PECVD制程来保形地沉积。图28a绘示已知的平坦工件表面。此表面最佳用离子或中性粒子沉积,所述离子或中性粒全部垂直于或近似垂直于工件的表面。图29a绘示最佳沉积的离子角分布。 此沉积是在不对等离子体鞘进行任何修改的情况下进行的。图28b绘示与图28c的沟槽相比较窄、较深的沟槽。此沟槽具有左侧壁1720、右侧壁1722以及底面1724。将均勻涂层或层1726涂布于基板的表面,包含基板表面1728、侧壁1720、1722以及底面1724。显然,仅垂直于基板表面1728的入射束可仅用以涂覆基板表面1728及底面1724。入射角是相对于垂直于工件平面151的线而界定的。因此,0°的入射角垂直于工件平面151。轨迹1730具有0°的入射角,而轨迹1732与轨迹1734相比具有较大的入射角。轨迹1734被绘示为具有Θ/的入射角。为在侧壁上适当且均勻地沉积材料,有必要具有经修改的离子角分布。具有0°的入射角(轨迹1730)的离子在基板表面1726及底面1728上沉积材料。具有大于特定值的角度的离子(诸如沿轨迹1732行进的离子)可仅在侧壁1720、1724的上部沉积材料,因为顶面1728投射阴影,其阻止离子到达侧壁的下部部分。具有小于此特定值的入射角1734 的离子能够在侧壁7120、1722的所有部分上沉积材料。因此,若特征的深度由变数d表示, 且特征的宽度由变数w表示,则能够在侧壁的底部部分上沉积材料的最大入射角Q1由下式界定tan θ j = w/d,或 θ i = arctan (w/d)大于θ 1的角度可能仅在侧壁的一部分上沉积材料,进而形成不均勻的材料层。图30a绘示图28b的特征。在工件上及特征内标记各个点(Q至Z)。举例而言, Q、R、S在工件上位于特征的左侧,而点X、Y、Z在工件上位于特征的右侧。点T、W沿特征的侧壁,且点U、V在特征的底面上。图30b至图30f绘示各种时序图。假定相对于工件扫描上文所描述的间隙,使得间隙自特征的左侧向右侧移动(如图30a所示)。每一时序图绘示随时间改变而沉积的高度。举例而言,图30b绘示当使用0°的入射角时的时序图。在此实施例中,随着间隙向右侧移动,材料沿工件的表面沉积。一旦开口直接位于特征上方(亦即,点S),沉积的深度便改变,对应于特征的底部。当间隙直接位于点χ上方时,高度再次改变,因为沉积目前发生于工件的表面上。应注意,侧壁无法使用0°的入射角来沉积。图30c绘示针对小于θ J界定为arctan(w/d))的入射角的时序图。在此图中, 离子到达工件的在间隙左侧的一部分。换言之,当间隙直接位于点S上方时,离子以R与S 之间的点为目标。因此,图30c的第一部分为图30b的经延迟的型式。当间隙到达离子接触点S的点时,间隙的进一步横向移动将致使离子撞击左侧壁,从而到达点T及点U。由于入射角小于G1,因此整个侧壁被沉积。随着间隙继续向右侧移动,最终离子无法对特征进行沉积,因为工件表面(亦即,点X)阻挡离子。此时,离子继续在工件的顶面上沉积,其再次为图30b的经延迟的型式。由于离子向左侧成角度,因此右侧壁从未被沉积。
图30d绘示针对大于θ工的入射角的时序图。此图接近地类似于图30c,但具有若干重要差异。首先,所述图相对于顶面(亦即,9、1 、33、¥、2)进一步延迟。而且,由于增加的入射角,离子在被顶面(点X)阻挡之前无法到达特征的底部(点U)。因此,底面及右侧壁未被沉积。图30e绘示针对负的但大于_ θ工的入射角的时序图。此图对应于图30c,其中离子到达整个侧壁且亦到达特征的底部的一部分。由于离子直接向下及向右,因此右侧壁被沉积,但左侧壁不被沉积。图30f绘示针对比_ θ 1负更多的入射角的时序图。此图绘示离子从未到达特征的底面,且仅沉积在右侧壁的一部分上。藉由选择入射角的正确范围,有可能形成三维特征的所要沉积。图30b至图30f 的图为实例,且点Q至点Z的位置仅用于说明改变入射角的作用。图28c绘示与图28b相比较宽、较浅的沟槽。此沟槽具有左侧壁1740、右侧壁1742 以及底面1744。将均勻的涂层或层1746涂布于基板的表面,包含基板表面1748、侧壁1740、 1742以及底面1744。如下文所述,为在侧壁上适当且均勻地沉积材料,有必要具有经修改的离子角分布。具有0°的入射角的离子(沿轨迹1750行进的离子)在基板及底面上沉积材料。具有大于约70°的入射角的离子(诸如遵循轨迹1752的离子)可仅在侧壁1740、 1742的上部部分上沉积材料,因为顶面1728投射阴影,其阻止离子到达侧壁的下部部分。 具有相对较小入射角的离子(例如,遵循轨迹1754的离子)能够在侧壁1740、1742的所有部分上以及底面1744上沉积材料。因此,若特征的深度由变数d表示,且特征的宽度由变数w表示,则能够在侧壁的底部部分上沉积材料的最大入射角θ 2由下式界定tan θ 2 = w/d,或 θ 2 = arctan (w/d)在此情况下,宽度(w)比深度(d)大得多,因此θ 2 > θ工(图28b)。在一实施例中,Q1可为30°,而θ 2可为50°。因此,可使用较宽的离子角分布。在所有情况下,最大所要离子角分布与待沉积的三维特征的纵横比有关。较窄、较深的特征需要较小范围的角分布,如图29b所示,而较宽、较浅的特征可利用较宽范围的角分布,如图29c所示。因此,所揭示的实施例可用以产生三维特征的保形沉积。操纵等离子体鞘以根据图29b形成离子角分布,其中θ是基于待于其上进行沉积的三维特征的纵横比而界定。如关于图4至图5所描述,可操纵传入离子的入射角以形成+/-θ的角分布,其以约0°为中心。在一些实施例中,θ是基于arctangent (w/d),其中w为特征宽度,且d被界定为特征深度。此举是藉由改变间隙的大小以及其与工件的垂直间距而完成,如图7至图10所示。在一些实施例中,可能期望在三维结构中沉积材料,而在沉积的下留下气隙。图 31a绘示三维特征1900,其具有侧壁1902、1904以及底面1905。材料1906以在所沉积的材料906与底面1905之间留下气隙1908的方式沉积。可藉由适当地修改离子角分布来形成此组态。举例而言,基于上面的描述,显然传入的离子无法具有垂直于工件的入射角,因此将在所有水平表面上沉积材料,包含底面 905。此外,具有低入射角的离子能够到达底面1905及侧壁1902、1904的下部部分。因此, 为达成所要图案,需要不同的角分布,如图31b所示。所述角分布为双峰的,以约-θ °及 θ°为中心。如前,较佳角分布与特征1900的纵横比有关。在此情况下,假定特征的深度为d,且其宽度为W。进一步假定所要气隙1908的高度为h。入射角不得小于由下式界定的入射角tan ( θ 3) = w/ (d_h),或 θ 3 = arctan (w/ (d_h))。图31b绘示双峰式角分布,其将达成图31a所示的图案。以图22所示的组态安置的绝缘体可用以产生此双峰式角分布。如上文所述,可操纵等离子体鞘以改变其形状。虽然以上揭示内容建议使用基于特定鞘形状而时间不变的角分布,但不以此方式限制本揭示案。举例而言,随着材料在两个侧壁上沉积,特征的宽度以高于深度的速率减小。因此,特征的纵横比增加。因此,为抵消此作用,可基于增加的纵横比,随时间过去而操纵鞘以减小角分布。因此,为保形地沉积三维特征,可如上文所述基于初始纵横比来使用离子角分布。 随着材料沉积于侧壁及底面上,纵横比必然增加。此情形要求角分布对应地减小。重复此过程,直至所要量的材料已沉积于侧壁及底面上为止。如上文所述,藉由改变绝缘体212、 214的间隔(见图8)或绝缘体212、214与工件138之间的距离(见图10)来调整角分布。 此调整可基于沉积速率连续地进行,或可在需要时以不连续步骤进行。在一些实施例中,可在制程期间修改等离子体参数。在其他实施例中,可在制程期间修改植入能量。在另一实例中,考虑图31a所示的特征。如上文所述,使用双峰式离子角分布来形成在底面上方间隔开的材料层。双峰式分布的中心角与特征的纵横比及气隙的所要高度有关。一旦所述层形成,便可修改角分布。举例而言,可减小两个双峰中心角,以在先前沉积的材料上沉积更多材料。此制程可继续,直至中心角达到0°为止。此举允许特征如图31a 所示般填充。在另一实施例中,在材料层已被沉积且气隙908形成之后,可使用0°的传统入射角来使更多材料成层至先前沉积的层上。此外,此使用变化的入射角的方法无需仅用于保形沉积。此制程可经修改以便在需要时形成不均勻的离子流(ion flux)。另外,基于经修改的鞘形状的沉积的使用可与其他步骤组合。举例而言,传统(正交)沉积可在上文所述的保形沉积制程之前或之后。举例而言,图31b所示的离子角分布可用以在沟槽中形成气隙1908。接着可随后使用传统的正交沉积来增加涂层的厚度。或者,可首先应用传统PECVD,接着是指向性(directional) 沉积。此沉积方法可应用于多种膜及沉积前驱体(precursor)。举例而言,诸如(但不限于)有机硅前驱体(甲基硅烷(methysilane)、六甲基二硅氧烷(hexamethyldisiloxane, HMDS0)、八甲基四硅氧烷(octamethyltetrasiloxane,0MCTS)以及四甲基四硅氧烷 (tetramethyltetrasiloxane, TMCTS))等材料可用于SiCOH的沉积,以用于孔密封应用及气隙的形成。SiH4可用于SiO2及SiN衬里(line)及间隔物的沉积。有机金属前驱体可用于在通孔及衬里中沉积衬料及扩散障壁。最终,SiH4/B2H6/PH3/AsH3混合物可用于三维沉积。除沉积以外,对等离子体鞘的操纵亦可用于蚀刻制程。在等离子体蚀刻期间,离子及中性粒子产生,且经控制以影响正蚀刻的三维特征的侧壁剖面。在一些实施例中,需要直的(亦即,垂直)侧壁。此举是使用非等向性蚀刻(anisotropic etch)来执行,其中离子被正交地引导至工件的表面。使用此类型的蚀刻的实例包含闸极堆迭、BEOL镶嵌以及 FinFET。图32a绘示由正交引导的离子2010蚀刻的特征2002。基板2004的将不被蚀刻的部分由遮罩(mask) 2000保护。由于所有离子均与基板2004的表面正交,因此经蚀刻的区2002具有垂直侧壁。图29a绘示形成此特征所必要的离子角分布。在其他实施例中,等向性蚀刻是合意的,且通常使用湿式化学(wet chemistry) (例如,在磊晶沉积(印i deposition)之前的S/D蚀刻,用于经改良的重叠)来完成。在某些实施例中,合意的是具有沟槽或其他三维特征,其中侧壁不垂直而是锥形的。图32b绘示此特征,其中侧壁稍微成锥形。注意,增加的离子分布允许在水平方向上在遮罩下方蚀刻侧壁。在一实施例中,具有较宽角展(诸如大于40°,如图29c所示)的离子冲击于工件2024上。此举在未由遮罩2020保护的所有区域中蚀刻工件2024。由于使用较宽角展, 因此具有最大入射角的离子2030能够蚀刻定位于遮罩2020下方的材料2026。基板的较小的楔状物2028保留在遮罩下方,因为其由遮罩2020保护。藉由增加离子角展(亦即,允许较大的最大入射角),在遮罩下方的经蚀刻的材料2026的量可增加,进而增加锥形的程度。 随着材料被蚀刻掉,角分布减小,使得最大入射角减小。图29b绘示实例角展。角展的减小趋于将蚀刻集中于较窄区域。随着过程继续,角展可连续减小,直至其变为诸如图29a中的传统角展为止。角展随时间变化的速率决定侧壁形状。锥度2031部分基于初始角展及角展减小的速率。角展的缓慢减小产生具有轻微锥形2031的特征。角展的较快减小增加了特征的锥度。如所阐释,图32b所示的锥形作用可使用此方法来形成。诸如图32c及图32d所示的形状的额外形状亦可藉由使用以约0°为中心的角分布且随时间而改变角展来形成。 为形成图32b所示的形状,制程藉由使用较宽角度而开始。此角度蚀刻侧壁顶部附近的材料。随着沟槽加深,角展减小,其致使所得侧壁变为锥形。图32c使用与用以形成图32b的特征的剖面类似的剖面,然而与用以形成图32b所示的剖面时此离子束的持续时间相比, 具有0°的入射角的离子束(见图29a)的持续时间减小。此外,开始角分布大于用以形成图32b所示的形状的角分布。使用诸如大于40°的较宽角展来产生图32d的特征,如图29c 所示,随时间过去,角展极少或无减小。图33a绘示三维特征2100,其中侧壁2102的锥度大于90度。将90度界定为垂直于工件的表面。大于90度的锥度意谓特征的宽度随深度增加而增加。为以此方式蚀刻特征2100,可使用如图31b所示的离子角分布。入射离子的双峰性质形成两个经蚀刻的区域 2104、2106,其每一者大致平行于入射中心角中之一者。在图33a中,入射角足够大,使得特征2100的底面的一部分2110未完全被蚀刻。此剩余部分2110的大小随入射角、遮罩2112 中的开口的宽度以及特征2100的深度而变。举例而言,将经蚀刻的区域的最内边缘界定为基板的第一部分,其具有至离子束的视线(line-of-sight)。将这些位置命名为Φ1及Φ2。Φ1自遮罩的右角偏移等于 dXtan(@)的距离,其中d为特征2100的深度,且θ为入射角。若遮罩2112中的开口由 w给定,则在dXtan( )大w/2的情况下,未经蚀刻的部分2110将存在。为消除此未经蚀刻的部分2110,可在双峰式离子角分布完成的后,使用以0°为中心的第二角分布或传统正交分布(见图29a)。此特征可见于图33b。亦可藉由执行过蚀刻(over-etch)步骤来达成上述情形,其中在两个层之间使用蚀刻障壁。在蚀刻制程中,材料之间存在不同的选择性(selectivity),使得某种材料将不用所使用的等离子体蚀刻。因此,蚀刻制程接着将在此层停止。
18
可藉由组合图31b所示的双峰式离子分布与以约0°为中心的角分布(诸如图 29a、图29b及图29c所示的彼等角分布)来形成其他特征形状。图33c及图33d绘示两个特征形状,其可藉由随时间修改角分布而形成,使得其可利用以0°为中心的角分布及双峰式角分布两者。举例而言,可藉由以不具有角展的蚀刻开始(见图29a)来形成图33c中的形状。此情形形成垂直沟槽,如此项技术中已知。稍后,使用较宽角展,其蚀刻材料,以便形成所要形状。可使用等向性蚀刻来形成图33d所示的形状。术语“入射角(angleof incidence) ” 与“入射角(incident angle)”在整个本揭示案中可互换使用,且具有相同意义。具体而言,入射角为离子撞击工件的角度。将入射角量测为自垂直于由工件的前表面界定的平面151的线的偏转。换言之,垂直撞击工件的离子具有0°的入射角。因此,提供对工件上的特征执行等离子体处理的方法。在某些实施例中,等离子体鞘的形状被修改,且接着来自等离子体的材料沉积于特征上。在另一实施例中,对等离子体鞘的修改与特征的纵横比相关而变化。在其他实施例中,当材料沉积于特征上,对等离子体鞘的修改亦随着时间变化。对等离子体鞘的修改亦可用以对工件执行蚀刻。可执行对等离子体鞘的各种修改,以改变经蚀刻的特征的形状及剖面。本揭示案的范畴不受本文所描述的具体实施例限制。事实上,除本文所述内容以外,熟习此项技术者自前面的描述及附图将明白本揭示案的其他各种实施例及修改。因此, 此些其他实施例及修改既定属于本揭示案的范畴内。此外,尽管已在用于特定目的的特定环境中的特定实施方案的上下文中描述了本揭示案,但熟习此项技术者将认识到,本揭示案的有用性不限于此,且本揭示案可有益地为任意数目的目的而在任意数目的环境中实施。因此,应鉴于如本文所述的本揭示案的完整宽度及精神来解释下文所陈述的权利要求。
权利要求
1.一种保形沉积的方法,包括 将工件定位在处理腔室中;在所述处理腔室中产生等离子体,所述等离子体具有邻近于所述工件的前表面的等离子体鞘;以及修改所述等离子体与所述等离子体鞘之间的边界的形状,同时使材料越过所述边界自所述等离子体加速,以在所述工件的所述前表面的特征上形成经沉积的层。
2.根据权利要求1所述的保形沉积的方法,其中所述材料以一入射角范围撞击所述特征,且其中所述入射角范围取决于所述等离子体与所述等离子体鞘之间的所述边界的所述形状。
3.根据权利要求2所述的保形沉积的方法,其中在所述特征上的所述层的沉积期间, 多次修改所述等离子体与所述等离子体鞘之间的所述边界的所述形状。
4.根据权利要求2所述的保形沉积的方法,其中藉由在所述等离子体与所述工件之间放置绝缘修改器,来修改所述等离子体与所述等离子体鞘之间的所述边界的所述形状,所述绝缘修改器中包括间隙。
5.根据权利要求4所述的保形沉积的方法,其中藉由改变所述间隙的宽度来修改所述入射角范围。
6.根据权利要求4所述的保形沉积的方法,其中藉由改变所述绝缘修改器与所述工件之间的垂直距离来修改所述入射角范围。
7.根据权利要求4所述的保形沉积的方法,其中所述绝缘修改器包括第一绝缘体及第二绝缘体。
8.根据权利要求7所述的保形沉积的方法,其中藉由改变所述第一绝缘体与所述第二绝缘体之间的垂直距离来修改所述入射角范围。
9.根据权利要求1所述的保形沉积的方法,其中所述入射角范围包括中心角及围绕所述中心角的分布,且其中所述中心角垂直于所述工件,且所述分布是基于所述特征的纵横比,所述纵横比被界定为所述特征的深度除以所述特征的宽度。
10.根据权利要求1所述的保形沉积的方法,其中在经沉积的所述层与所述特征的底面之间维持气隙。
11.根据权利要求10所述的保形沉积的方法,其中所述入射角范围包括中心角及围绕所述中心角的分布,其中所述中心角不垂直于所述工件。
12.根据权利要求8所述的保形沉积的方法,其中所述角及分布为双峰式分布,所述双峰式分布具有两个中心角与围绕每一中心角的分布。
13.根据权利要求2所述的保形沉积的方法,包括基于所述特征的第一纵横比选择第一中心角及分布,所述第一纵横比被界定为所述特征的第一深度除以所述特征的第一宽度;使用所述第一中心角及分布朝所述特征引导所述材料,藉此所述材料沉积于所述特征上,进而使所述第一纵横比改变为第二纵横比;基于所述第二纵横比选择第二中心角及分布;以及使用所述第二中心角及分布朝所述特征引导所述材料,藉此所述材料沉积于所述特征上。
14.一种在工件中蚀刻三维特征的方法,所述三维特征具有所要的宽度、深度及锥度, 所述在工件中蚀刻三维特征的方法包括将工件定位在处理腔室中;在所述处理腔室中产生等离子体,所述等离子体具有邻近于所述工件的前表面的等离子体鞘;以及修改所述等离子体与所述等离子体鞘之间的边界的形状,同时使材料越过所述边界自所述等离子体加速,以在所述工件的所述前表面上蚀刻所述特征。
15.根据权利要求14所述的在工件中蚀刻三维特征的方法,其中所述材料以一入射角范围撞击所述特征,且其中所述入射角范围取决于所述等离子体与所述等离子体鞘之间的所述边界的所述形状。
16.根据权利要求15所述的在工件中蚀刻三维特征的方法,其中所述入射角范围包含中心角及围绕所述中心角的分布。
17.根据权利要求16所述的在工件中蚀刻三维特征的方法,其中所述特征向内成锥形,使得在所述工件的所述前表面处的所要的所述宽度大于在所述特征的底部处的所要的所述宽度,且所述中心角垂直于所述工件,且所述分布大于零度。
18.根据权利要求16所述的在工件中蚀刻三维特征的方法,其中所述特征向外成锥形,使得在所述工件的所述前表面处的所要的所述宽度小于在所述特征的所述底部处的所要的所述宽度,且所述入射角范围包括两个中心角,每一所述中心角具有一分布。
19.根据权利要求16所述的在工件中蚀刻三维特征的方法,其中对所述边界的修改包括基于所要的所述锥度选择第一中心角及分布;以及使用所述第一中心角及分布朝所述特征引导所述材料,藉此所述材料蚀刻所述工件至第一深度。
20.根据权利要求19所述的在工件中蚀刻三维特征的方法,更包括 基于所要的所述锥度及所述第一深度选择第二中心角及分布;以及使用所述第二中心角及分布朝所述特征引导所述材料,藉此所述材料蚀刻所述工件至第二深度。
21.根据权利要求20所述的在工件中蚀刻三维特征的方法,更包括多次重复选择及引导步骤,直至已蚀刻所要深度为止。
22.根据权利要求21所述的在工件中蚀刻三维特征的方法,其中所述分布随时间过去而减小。
23.根据权利要求21所述的在工件中蚀刻三维特征的方法,其中所述入射角随时间过去而减小。
全文摘要
使用等离子体处理工具在工件上沉积材料。举例而言,揭示一种用于材料的保形沉积的方法。在此实施例中,等离子体鞘形状经修改以允许材料以某一范围的入射角冲击所述工件。藉由随时间过去而改变此入射角范围,可在上面沉积多种不同特征。在另一实施例中,使用等离子体处理工具来蚀刻工件。在此实施例中,等离子体鞘形状经更改以允许离子以某一范围的入射角冲击工件。藉由随时间过去而改变此入射角范围,可形成多种不同形状的特征。
文档编号H01L21/205GK102422389SQ201080020274
公开日2012年4月18日 申请日期2010年4月2日 优先权日2009年4月3日
发明者乔治·D·帕帕守尔艾迪斯, 卢多维克·葛特, 提摩太·J·米勒, 维克拉姆·辛 申请人:瓦里安半导体设备公司
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1