温湿控定时喷灌系统的制作方法

文档序号:344434阅读:211来源:国知局
专利名称:温湿控定时喷灌系统的制作方法
技术领域
本实用新型属于电子技术领域,涉及一种温度、湿度控制的定时喷灌系统。尤其是
系统中信号的传递与控制方式。
背景技术
水分是天然土壤的一个重要组成部分,它不仅影响到土壤的物理性质,制约着土 壤中养分的溶解、转移和微生物的活动,而且是构成土壤肥力的一个重要因素,更是一切植 物赖以生存的基本条件。 目前的灌溉系统主要有渠灌、喷灌、滴灌,后两种方式虽然实现了节水灌溉,但仍 需人工控制在一定的温度和湿度条件下的灌溉时间。 在实现温度和湿度的测量上,目前已有多种成熟的技术,如AD590温度传感器, ADC0804型8位模数转换器,智能湿度传感器集成电路。 FPGA是新型的可编程逻辑器件,能够将大量的逻辑功能集成于单个器件中,它所 提供的门数从几百门到上百万门,符合系统芯片(SOC-System On Chip)的发展要求,具有 高度集成、低功耗、硬件升级等优点,可以满足不同的需要。随着电子技术和传感技术的 不断发展,使得可编程逻辑器件在现代数字系统和微电子技术应用中起着越来越重要的作 用。

发明内容本实用新型的目的在于实现温度、湿度自动控制的定时喷灌。把温度、湿度传感电 路所得到的数字信号送入FPGA,经FPGA处理后输出用于控制定时喷灌的开关信号。 为实现上述目的,本实用新型包括数字温度表、湿度传感器、FPGA芯片。 所述的数字温度表包括AD590温度传感器、运算放大器、ADC0804型8位模数转换 器。 所述的湿度传感器包括湿敏电容、放大器、14位A/D转换器、校准存储器、二线串 行接口电路。 所述的FPGA芯片包括数据处理模块、时钟模块、喷灌控制模块。数据处理模块完 成温度、湿度的数据的处理,将接收到的转换值调整成对应的数字信号,数据处理模块输出 的信号作为时钟模块的输入端,控制时钟是否开始计时。喷灌控制模块的输入信号来自数 据处理模块和时钟模块的输出,当温度和湿度达到预先设定的数值范围时,喷灌控制模块 的输出信号控制喷灌开关自动打开一定时间。温度和湿度预设范围和喷灌开关时间均可由 程序方便的调整。 本实用新型具有如下优点 实现了温度、湿度自动控制的定时喷灌,只用简单的电路使全电子式温、湿控自动 喷灌成为可能,本实用新型设计的产品使用简单,体积小便于携带,很适合在田间、温室大 棚、草坪等场合,便于推广使用。可实施精准农业,节水灌溉,提高农业生产效率。
图1温控定时喷灌系统的温度传感与控制电路; 图2湿度传感器内部结构方框图; 图3FPGA内部结构方框图。
具体实施方式
以下结合附图对本实用新型的具体实施方式
作具体说明。 参见图1,温度传感与控制电路组成数字温度表,其测量范围为0-10(TC .AD590温 度传感器的感测能力是,温度每升高1K就增加1 y A的电流量,该电流流入10KQ的电阻 后,将会产生1pAX10KQ 二10mV的电压.而(TC (等于273K)时,输出电流273 y A,流入 10KQ的电阻后,产生273ii AX10KQ =2. 73V的电压。如果测到电压为XXV,则可由公式 (XXV-2. 73V)+10mV得到要测的温度。温度传感器AD590串接10KQ的电阻,然后经一个 运算放大器后,将电压引入ADC0804的Vin(+)管脚。ADC0804是8位模数转换器,测量精 度为0. 02V,当ADC 0804的转换值为XXH时,所测温度为T = (XXHX 0. 02 V_2. 73V) + 10mV =XXHX 2-273。 参见图2,在湿控定时喷灌系统中采用了湿度传感器。湿度传感器是一种超小型、 高精度、多功能式智能湿度传感器集成电路,可用来测量相对湿度、温度和露点等参数,广 泛用于工农业生产、环境监测等领域。本实用新型中湿度传感器采用CMOS技术制造。芯片 中包含基于湿敏电容的微型相对湿度传感器,还有一个放大器、一个14位A/D转换器、校 准存储器以及二线串行接口电路,测量时,利用传感器产生相对湿度信号,经放大后送至A/ D转换器进行模/数转换、校准和纠错,然后通过二线串行接口将相对湿度的数据送至微控 制器,再利用微控制器完成非线性补偿,输出经过校准的相对湿度串行数据。 二线串行接口包括串行时钟线(SCK)和串行数据线(DATA) 。 SCK用来接收微控制 器发送来的串行时钟信号,使湿度传感器与主机保持同步。DATA为三态引出端,既可输入 数据,也可输出测量数据,不用时呈高阻态。仅在DATA的下降沿过后,且SCK处于上升沿的 时刻才能更新数据。为了使数据信号为高电平,在数据线DATA与UDD端之间,需连接一只 10KQ上拉电阻。该上拉电阻已包含在微控制器的1/0接口电路中。 参见图3,温度传感器及湿度传感器输出的数字信号输入到FPGA可编程逻辑器 件,在EDA(电子设计自动化)工具软件上编写VHDL(超高速集成电路硬件描述语言)程序。 根据需要可在程序中方便的调整温度、相对湿度和喷灌时间的数值。 用EDA工具软件上的图形输入法形成的时钟模块包括两个模60计数器, 一个模12 计数器,它们输出的信号分别对应时、分、秒的各位。时钟模块亦可由VHDL语言编写。 数据处理模块完成温度、湿度数据的处理,实现T = (XXHXO. 02V-2. 73V) +10mV =XXHX2-273的运算,将接收到的转换值调整成对应的数字信号,在读取到ADC0804的转 换数据后,先将转换数据左移l位(相当于数值乘2),然后减去"100010001" (273的二进 制表示)。当温度大于某一数值时,数据处理模块输出的使能信号和清零信号为l,它们作 为时钟模块的输入端,控制时钟是否开始计时。喷灌控制模块的输入信号来自数据处理模 块和时钟模块的输出,当温度、湿度达到预设数值时,喷灌控制模块的输出信号控制喷灌开关自动打开一定时间'
权利要求一种温度、湿度控制的定时喷灌系统,包括数字温度表、湿度传感器、FPGA芯片,其特征在于FPGA芯片包括数据处理模块、时钟模块、喷灌控制模块,温度传感器及湿度传感器输出的数字信号输入到FPGA芯片,FPGA芯片进行温度、湿度的数据的处理,输出信号控制喷灌开关自动开关。
专利摘要本实用新型公开了一种温度、湿度控制的定时喷灌系统。包括数字温度表、湿度传感器、FPGA芯片。温度传感器及湿度传感器输出的数字信号输入到FPGA可编程逻辑器件,在EDA工具软件上编写VHDL程序。所述的FPGA芯片包括数据处理模块、时钟模块、喷灌控制模块。数据处理模块完成温度、湿度的数据的处理,将接收到的转换值调整成对应的数字信号,数据处理模块输出的信号作为时钟模块的输入端,控制时钟是否开始计时。喷灌控制模块的输入信号来自数据处理模块和时钟模块的输出,当温度和湿度达到预先设定的数值范围时,喷灌控制模块的输出信号控制喷灌开关自动打开一定时间。本实用新型可实施精准农业,节水灌溉。
文档编号A01G25/16GK201479691SQ2009201527
公开日2010年5月26日 申请日期2009年6月11日 优先权日2009年6月11日
发明者孙福玉 申请人:孙福玉
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1