有效的邻近效应校正方法

文档序号:6482767阅读:284来源:国知局
专利名称:有效的邻近效应校正方法
技术领域
本发明涉及制造半导体芯片的过程。更具体地,本发明涉及一种用于执行邻近效应校正(proximity effect correction)的方法。
背景技术
集成电路技术改进主要由半导体芯片特征尺寸的减小所驱动。随着电路特征尺寸的减小,电路设计者不得不处理用于制造集成电路的光刻过程的局限性。光刻过程(lithography process)首先由利用称为光刻胶的材料涂覆半导体晶片表面开始。在透明掩模的情况下,辐射源然后照射通过放置在光刻胶层之上的掩模。对于反射掩模,所述辐射由放置在光刻胶层之上的掩模所反射。透明掩模由对辐射透明的基片制成,并涂覆有限定对辐射透明和不透明区域的图案化不透明层。透明掩模主要用于436nm、405nm、365nm、248nm、193nm和157nm典型波长的光学光刻中。反射掩模使用对辐射反射的基片制成,并涂覆有限定对辐射反射和非反射区域的图案化非反射层。可替换地,反射掩模可由涂覆有反射层的非反射基片制成。反射掩模主要用于通常称作EUV或者极紫外线(Extreme UltraViolet)的在13nm级的较短辐射波长。在对辐射源的曝光过程中,掩模的图像使用光刻胶层顶部上的系统形成。光刻胶层在透射掩模的情况下由通过掩模的辐射曝光或在反射掩模的情况下由掩模反射。光刻胶然后在显影液器(developer bath)中显影,并依赖于光刻胶的极性(正或负)移除光刻胶的曝光区域或未曝光区域。最终得到的是带有具有所期望图案的光刻胶层的半导体晶片。该光刻胶图案然后可由对晶片下层区域的后续处理步骤使用。
随着特征尺寸减小,图案转移过程中的畸变变得更加严重。必须修改设计形状以便在晶片上印刷所期望的图像。所述修改说明了光刻过程的局限性。在光学光刻情况下一种这样的修改称为光学邻近校正(OPC)。在OPC的情况下,对设计图像的修改说明了光学局限性以及制造局限性和光刻胶局限性。对设计图像的修改还可说明如干蚀刻或者注入的后续处理步骤。它还说明了光学系统中的光斑(flare)以及图案密度变化。邻近效应校正的另一个应用是对用于将掩模图像印刷到晶片上的光学系统的像差(aberration)的校正。在该情况下,由于像差是工具特定的(tool specific),带有像差校正的掩模将专用于给定的光刻工具。
当前光学系统的局限性由以下等式得出R=k1λ/NAR=分辨率λ=照明源的波长NA=曝光系统的数值孔径所实现的用于标准光学系统的最大分辨率(或者由相等线和间隔所构成的图案中的最小线)在k1=0.25时实现。但是对于低于0.5的k1值在晶片上可观察到图案的严重畸变,因此需要对掩模的校正以便在晶片上印刷所期望的图像。
图1示出用于校正邻近效应的掩模数据的修改。对掩模数据的处理开始于表示晶片上的图像期望尺度的目标布局101。由于邻近效应,目标布局101的印刷图像102不同于期望图像。为了参考,目标布局101与印刷图像102一起示出。然后移动特征边缘(103)以便校正晶片上的对应印刷图像(尽可能接近目标)104。在图1中,布局的所有区域都已得到校正,但是邻近效应校正可限定到真正重要的区域如例如多层中的栅区。
可利用基于规则的方法或者基于模型的方法来应用对布局101的校正。对于基于规则的方法(基于规则的OPC),段的位移将依赖于例如特征尺寸及其环境由规则列表设定。对于基于模型的方法(基于模型的OPC),晶片上的印刷图像将利用图案转移过程模型来模拟。校正将被设置使得所模拟的图像与期望晶片图像匹配。还可使用有时称为混合OPC的基于规则的OPC和基于模型的OPC的组合。
在基于模型的OPC的情况下,如图2中所示的原始布局201解剖为经修改的布局202中所示的较小段203。每个段都结合了估算点204。如最终布局205中所示,通过在垂直于所述段的方向上移动对应段来补偿估算点的印刷错误。利用多重迭代校正所述段以便说明每个单独段的邻近校正。
利用当前基于模型和基于规则的OPC方法的主要问题之一是在校正后顶点的数量显著增加,因而导致与校正前相比在校正后的更大的数据量(典型地是较大数量级)。较大数据量增加了数据处理时间以及写掩模所花的时间。此外,由于当数据转换到掩模写工具格式时产生非常小的碎片,校正的复杂性可产生矢量扫描电子束(e-beam)掩模写工具的一些问题。这些小碎片导致在掩模被曝光时曝光剂量不准确,这又导致尺度不准确。
基于模型的OPC的另一个问题是,所述校正对于估算点是准确的,但是由于解剖点引入校正的不连续性,不保证所述校正对于估算点中间的点是足够的。应用到估算点中间的点的校正是基于固有地倾向于误差的外插(extrapolation)。该效果在图3中描述。两个段301和302利用基于模型的OPC被校正。还示出所得到的印刷图像303和目标布局304。对于估算点305和306,印刷图像303紧密匹配目标布局304。对于估算点305和306中间的点307,印刷图像不匹配目标图像。
图4描述了当前OPC方法的另一个局限性。对由两个相对角组成的布局(401)的校正导致布局402,在所述布局402中在角之间产生紧间隔403。该紧间隔是不期望的,因为当晶片曝光时它将导致减小的处理范围。紧间隔还能够难以在掩模上制造并还产生后续地检验和修复问题。
图3和图4所展示的局限性由固有地不准确的估算点之间校正的外插产生。在增加估算点数量以增加校正准确度和减少估算点数量以提高校正速度之间采取折衷。
所需要的是新的OPC方法,其将减小OPC之后的数据量膨胀、防止数据断裂期间的碎片(sliver)的产生、在估算点中间生成更准确的校正以及避免相对角之间的小间隔的产生。

发明内容
描述了一种在集成电路布局上执行邻近效应校正的方法。该方法提供简化的校正方法,所述校正方法将产生具有更准确校正的布局并且还将减小邻近效应校正之后的数据量膨胀。
对于给定布局,对应于校正应满足给定规格的布局位置的估算点可被标识。数据处理器随后被使用以执行对估算点的邻近效应校正,由此产生校正点。经校正的布局通过互连相邻校正点而产生。
在一些实施例中,校正点通过平移估算点而产生。所述平移可沿垂直于原始布局的线执行。
在一些实施例中,互连曲线可以是直线、多项式函数,或者其可以断裂成以角度的有限集合排列的线集合。
在一些实施例中,估算点放置在子分辨率辅助特征上。在该情况下邻近效应校正可通过计算校正点的位置执行,以增强由对应子分辨率辅助特征所辅助的图案空间像(aerial image)的对比度。
还描述了在集成电路布局上执行邻近效应校正的另一种方法。该方法提供了产生具有更准确校正的布局的校正方法。
限定给定布局的段被解剖为较小的段。数据处理器随后被使用以执行对其中经校正段可不平行于对应输入段的所述段的邻近效应校正。
在一些实施例中,每段至少一个估算点被标识并且所述段通过相对于输入段的相应估算点旋转和平移所述输入段而得到校正。
在一些实施例中,邻近经校正段的端利用互连段而连接。每个段还可利用在与邻近段的交点所产生的顶点进行外插并连接到邻近段。经校正段的外插可沿直线或沿例如利用三阶多项式所定义的曲线执行。在随后的步骤中,经校正的段可断裂成以角度的有限集合排列的线集合,以方便用于矢量扫描掩模写工具的掩模制造过程。
在一些实施例中,至少一个估算点在输入段内标识且经校正段的位置通过计算相对于估算点的平移而获得。
在一些实施例中,一些输入段可放置在子分辨率辅助特征上。在该情况下,邻近效应校正可通过计算经校正段的位置而执行,以增强由对应子分辨率辅助特征所辅助的图案空间像的对比度。
本发明的实施例包括光刻掩模。所述光刻掩模包括已利用上述方法之一针对邻近效应得到校正的布局图案。
本发明的实施例包括用于制造集成电路的方法。该方法包括使用以上限定的掩模在IC中曝光材料层。
本发明的实施例包括用于生产光刻掩模的方法。所述光刻掩模利用掩模布局制造。所述掩模布局包括已利用上述方法之一针对邻近效应得到校正的布局图案。
本发明的实施例包括生产布局的系统。该系统包括执行指令程序的数据处理器和可由数据处理器访问以便存储指令程序的存储器。所述指令程序包括接收集成电路一部分的计算机可读布局并利用上述校正方法之一校正布局的逻辑。
本发明的实施例包括制造物品,包括存储指令程序的机器可读数据存储介质。指令程序包括接收集成电路一部分的计算机可读布局并利用上述校正方法之一校正布局的逻辑。


图1示出用于校正邻近效应的数据修改。
图2示出用于基于模型的OPC的处理流。
图3示出对于估算点中间的点的OPC校正不准确性。
图4示出在OPC之后相对角度角之间的小间隔的生成。
图5示出对段的距离和角度的校正。
图6示出用于计算段的移动和旋转的方法。
图7示出用于通过平均多个估算点的位置计算校正后的段位置的方法。
图8示出如何可通过外插段和使用邻近段之间的交点作为新顶点来减小数据量。
图9示出使用估算点作为经校正布局的顶点的技术。
图10示出针对与现有技术相比的图9所述技术的顶点数量的减小。
图11示出更通用的校正方法。
图12示出用于带有非印刷辅助特征的布局的校正方法。
图13示出遵从矢量扫描掩模写工具的限制的边缘解剖。
图14示出遵从矢量扫描掩模写工具的限制的图10布局1003的修改。
图15示出用于段的优选角度,所述段用于连接两个校正点以遵从矢量扫描掩模写工具的限制。
图16示出计算机系统,用于校正数据布局上的邻近效应。
图17描述处理流,用于利用带有改进的邻近效应校正方法制成的掩模制造具有改进的尺度控制的IC。
具体实施例方式
图5示出如何通过改变段的角度实现对校正的较好外插。目标布局和段的原始位置由线504表示。501和502表示校正后段的位置。应注意的是所述段已被移动以及沿线504从其原始位置旋转。针对段501和502的估算点分别是505和506。线503表示当使用经校正数据时晶片上图像的位置。与图3相比(与图3相同的目标),由于针对估算点505和506中间的点507的段的旋转而实现了较好的校正。
图6示出用于计算段的移动和旋转的一种方法。线601表示原始布局以及目标晶片图像。估算点602放置在具有两个解剖点603和604的线601上。校正前的晶片图像由线605表示。校正后的段位置由606表示。校正后的段由它与原始布局的距离d以及由它与原始布局的角度α表征。应注意的是,替代d,可使用距离d′=d.cosα。d′表示沿垂直于经校正段的路径从原始估算点位置到经校正段的距离。可使用两种不同方法以计算距离d和角度α。首先可使用多个估算点。如图7所示然后计算并且然后拟合每个估算点所需的校正。线701表示原始布局以及目标晶片图像。估算点702放置在具有两个解剖点703和704的线701上。两个附加估算点705和706放置在估算点702的每一侧。段707表示校正后原始段的位置。通过拟合校正后三个估算点的位置(图7中以黑点示出)计算段的位置。图7实例中所使用的拟合函数是一阶多项式。为了实现更好的拟合,可使用较高阶的多项式诸如二阶或三阶多项式。另一种方法在于计算图6所示的角度β并将α设置成等于β、β的分数或者β的函数。
图8示出可如何处理数据量问题。线801表示原始布局的位置以及目标晶片图像。具有相应估算点804和805的经校正段802和803已被外插以便在两个段的交点生成一个顶点806。原始解剖点807在图8中示出用于参考。与图5中使用的技术相比,该技术潜在地在两个段的交点上保存一个顶点。这种保存仅能够在我们允许段旋转的情况下实现。如果两个段之间的角度γ太接近弧度π(180度),图5中所述的技术将用于连接所述段。
为了进一步简化图5和8所示的技术,解剖点可用作图9所示的经校正布局的顶点。线901表示原始布局的位置以及目标晶片图像。估算点902和903生成相应的校正点904和905。通过用线906连接校正点904和905,位于估算点902和903中间的点的校正得到内插。该内插技术比现有技术中所述的技术更准确。图9的实例中所使用的拟合函数是一阶多项式。为了实现较好的拟合,可使用较高阶的多项式诸如二阶或三阶多项式。估算点902和903优选地选定在布局的临界位置以确保校正精确地应用到布局的大部分临界位置。校正点904和905可利用基于规则或基于模型的方法生成。
图10示出校正之前的布局1001、现有技术中所述的校正之后的布局1002以及利用图9所述校正方法的校正之后的布局1003之间的顶点数量的比较。作为参考,解剖点1004和估算点1005在图10中示出。在校正之前顶点数量为4。利用现有技术的邻近校正方法,顶点数量增加到二十。利用图9所述技术,顶点数量仅为十二,与现有技术相比这示出了显著的减少。该技术还体现了生成如1006的较平滑角的优点,该优点又将避免图4所述的问题。更平滑的角以及急转弯(jog)如1007的消除还将有助于掩模检验和修复过程。
图11中以更通用的方式描述了估算点的校正。线1101表示原始布局的位置以及目标晶片图像。估算点1102在原始布局上示出。线1103表示晶片上的印刷图像。为了校正晶片上的印刷图像1103和目标晶片图像1101之间的差异,在布局中产生对应于校正点1104的新顶点。相对于估算点1102的1104位置由r和θ给出,其中r是从1102到1104的距离而θ是经过1102垂直于原始布局的线和经过1102垂直于晶片上印刷图像的线之间的角度。d是1102和1105的距离,d′是1102和1106的距离,δ是经过1102垂直于原始布局的线和经过1102及1104的线之间的角度。
在最通常的情况下,r和θ是d和δ的函数。对于基于模型的OPC,θ在每次迭代中可等于δ的分数(例如80%)。对于基于规则的OPC,θ可从在校正之前从测试结构印刷中产生的规则表中选择。为了简单起见,可使θ等于δ。
对于基于模型的OPC,r在每次迭代中是d的分数(例如80%),以保证对所有段的校正收敛。对于基于规则的OPC,r从规则表中选择。
在图9所述的简单情况下,θ=0并且r是d′的函数。典型地,对于基于模型的OPC,r在每次迭代中是d′的分数。对于基于规则的OPC,r从规则表中选择。
图9所述的校正方法可应用于如图12所述的带有非印刷辅助特征的布局。左侧的布局表示由主要特征1201和辅助特征1202、1203、1204以及1205组成的原始布局。估算点放置在布局(如例如1206)上并且由星号表示。估算点放置在主特征和辅助特征上。优选地但是不必要地,主特征的估算点投影在辅助特征上以便在辅助特征的两侧生成估算点。例如,主特征上的估算点1207投影在相邻辅助特征1204上以便在所述辅助特征上生成两个估算点1208和1209。主特征1201应印刷在晶片上而辅助特征1202、1203、1204和1205不应印刷。如例如通过其处理范围或通过晶片上空间像的对比度所测量的,辅助特征改善了主特征的可印刷性。处理范围可通过光刻胶边缘位置的剂量和焦点范围定义。
图12右侧的布局表示邻近效应校正之后的布局。主特征以与图10的特征1003类似的方式得到了校正。辅助特征也得到了校正。优选地,辅助特征的校正是基于在主特征上的对应估算点处的空间像的对比度。例如,估算点1208和1209的校正是基于对应估算点1207上的空间像的对比度。其它标准也可使用,如例如在估算点1207位置的剂量或者空间像的焦点范围。当校正点1208和1209时,必须满足附加需求。主特征和辅助特征之间的距离不能设得太小,因为这将难以在掩模上解析。辅助特征的宽度不能设得太小,因为这将难以在掩模上制造,或不能设得太大,因为其可能在晶片上印刷。这些标准取决于掩模制造过程和晶片曝光条件。
在优选实施例中,在辅助特征上的估算点首先被校正以改善主特征的处理范围。然后主特征上的估算点被校正以调整主特征的印刷图像的尺寸。对于基于模型的邻近校正,每次迭代将重复该过程。
用于掩模写的数据断裂现在有两种主要写策略用于掩模制造。对于称为“光栅扫描”的第一种策略,电子或光束在掩模上扫描并在掩模应该曝光的地方将其打开。对于称为“矢量扫描”的第二种策略,整形的电子束在掩模上的某些坐标处曝光,其中该坐标表示所述掩模应该曝光的数据。整形的束曝光工具通常需要仅包含角度的某些集合的数据。由于可由曝光工具产生的形状的约束,典型地所述角度为45度、90度和135度角度。如果数据包含其它角度,数据将修改为仅包含45度、90度和135度角度。图13描述了这样的情况。多边形1301包含不同于45度、90度或135度的角α。多边形1302表示修改后的数据。在角度α的段被解剖为较小的段。原始段1303以虚线示出。尽管图13描述了用于角度的特定集合即45度、90度和135度角度的边缘的断裂,可选择对应另一矢量扫描写工具的角度的另一集合。该数据处理仅仅在数据处理的最后阶段,恰好在掩模制造之后增加数据量,由此最小化在整个数据处理流中的数据量的影响。
为了适应矢量扫描电子束写工具的局限性,图10中所示数据1003能够如图14所述被修改。在优选实施例中,段将根据图15所述的规则被解剖。线1501表示具有估算点1502和1503以及对应的校正顶点1504和1505的原始布局。为了连接1504和1505,来自校正点1504的优选段方向由1506、1507和1508给出。方向1506和1508处于自方向1507的45度角。不推荐垂直于线1501的方向1509和1510,因为它们会改变段所收到的校正的数据。
图16示出用于在数据布局上校正邻近效应的计算机系统,代表适合该应用的广范围的各种计算机系统和计算机结构。处理器1601被连接以从输入电路1602接收指示用户信号的数据并将数据限定图像提供给显示器1603。处理器1601还被连接用于访问掩模布局数据1604,所述掩模布局数据1604限定在构造的掩模布局以及用于利用该掩模曝光的材料层的布局。处理器1601还被连接用于从指令输入电路1605接收指令数据,所述指令输入电路1605可提供从到存储器1606、存储介质访问装置1607或网络1608的连接中接收到的指令。
图17示出IC(集成电路)的制造过程。在步骤1701,首先利用图16所示的计算机系统读取集成电路的布局文件。在步骤1702标识估算点,并且在步骤1703通过平移估算点生成校正点。在步骤1704,连接邻近校正点以生成输出布局,该输出布局在步骤1705随后转换到掩模书写器格式。步骤1705所得到的数据用于在步骤1706生成掩模,并且在步骤1707该掩模最终用于IC的制造过程。
总结本叙述中所描述的数据结构和代码可存储在计算机可读存储介质上,该存储介质可以是能够存储计算机系统所使用的代码和/或者数据的任何设备或者介质。这包括但不局限于磁和光存储设备如盘驱动器、磁带、CD(致密盘)和DVD(数字视频盘),并且计算机指令信号嵌入在传输介质中。例如,所述传输介质可包括如国际互联网的通信网络。
本发明可应用于任何类型的光刻过程,包括光学光刻、极紫外线光刻、电子束光刻、离子束光刻以及X-射线光刻。
本发明可应用于在单一或者多种曝光方法中使用的任何二进制掩模、边缘相移掩模(rim phase-shifting mask)、无铬相移掩模(chromelessphase-shifting mask)、衰减相移掩模(attenuated phase-shifting mask)、交替孔径相移掩模(alternating aperture phase-shifting mask)。
在本发明参考以上详述的优选实施例和例子被公开时,应该理解的是这些例子意在说明而不是限制。应该预期的是修改和组合对于本领域技术人员将是容易发生的,这种修改和组合将在本发明的精神和随后权利要求的范围之内。
权利要求
1.一种用于在集成电路的布局上执行邻近效应校正以产生经校正布局文件的方法,所述方法包括接收所述集成电路一部分的计算机可读布局;利用数据处理器标识所述布局的第一估算点和第二估算点;利用数据处理器执行对所述第一估算点的邻近效应校正以便形成第一经校正点,以及执行对所述第二估算点的邻近效应校正以便形成第二经校正点。计算连接所述第一经校正点与所述第二经校正点的互连曲线。
2.权利要求1的方法,其中所述执行包括平移所述第一估算点以形成所述第一经校正点,以及平移所述第二估算点以形成所述第二经校正点。
3.权利要求1的方法,其中所述执行包括沿垂直于所述布局的线平移所述第一估算点以形成所述第一经校正点,以及沿垂直于所述布局的线平移所述第二估算点以形成所述第二经校正布局。
4.权利要求1的方法,其中所述互接曲线是直线。
5.权利要求1的方法,其中所述互接曲线是多项式函数。
6.权利要求1的方法包括将所述互连曲线断裂成以角度的有限集合排列的线集合。
7.权利要求1的方法,其中所述第一估算点和所述第二估算点放置在子分辨率辅助特征上。
8.权利要求7的方法,其中所述执行包括调整所述第一估算点和所述第二估算点以便增强由所述子分辨率辅助特征所辅助的图案空间像上的对比度。
9.一种用于在集成电路的布局上执行邻近效应校正以产生经校正布局文件的方法,所述方法包括接收所述集成电路一部分的计算机可读布局;利用数据处理器标识所述布局的具有第一端和第二端的至少一个输入段;利用数据处理器执行对所述输入段的邻近效应校正以便形成具有经校正第一端和经校正第二端的经校正段,其中所述经校正段不平行于所述输入段。
10.权利要求9的方法,包括标识所述输入段内的至少一个估算点;以及通过相对于所述估算点旋转和平移所述输入段来限定所述经校正段。
11.权利要求9的方法,包括标识所述布局的具有第一端和第二端的另一个输入段;在所述另一个输入段上执行邻近效应校正以便形成具有经校正第一端和经校正第二端的第二经校正段,其中所述第二经校正段包括对所述另一个输入段的旋转和平移;以及计算连接所述第一经校正段的所述第二经校正端与所述第二经校正段的所述第一经校正端的互连段。
12.权利要求9的方法,包括标识所述布局的具有第一端和第二端的另一个输入段;在所述另一个输入段上执行邻近效应校正以便形成具有经校正第一端和经校正第二端的第二经校正段,其中所述第二经校正段包括对所述另一个输入段的旋转和平移;以及将所述经校正段外插到与所述第二经校正段的交点,并在所述交点建立顶点。
13.权利要求9的方法,包括标识所述布局的具有第一端和第二端的另一个输入段;在所述另一个输入段上执行邻近效应校正以便形成具有经校正第一端和经校正第二端的第二经校正段,其中所述第二经校正段包括对所述另一个输入段的旋转和平移;以及将所述经校正段沿直线外插到与所述第二经校正段的交点,并在所述交点建立顶点。
14.权利要求9的方法,包括标识所述布局的具有第一端和第二端的另一个输入段;在所述另一个输入段上执行邻近效应校正以便形成具有经校正第一端和经校正第二端的第二经校正段,其中所述第二经校正段包括对所述另一个输入段的旋转和平移;以及将所述经校正段沿曲线外插到与所述第二经校正段的交点,并在所述交点建立顶点。
15.权利要求9的方法,其中所述执行包括标识所述输入段内的至少一个估算点,并计算相对于所述估算点的所述平移;以及包括标识所述布局的具有第一端和第二端的另一个输入段;在所述另一个输入段上执行邻近效应校正,包括标识所述另一个输入段内的至少一个估算点,并计算相对于所述估算点的所述平移,以便形成具有经校正第一端和经校正第二端的第二经校正段,其中所述第二经校正段包括对所述另一个输入段的旋转和平移;以及计算连接所述经校正段的所述经校正第二端与所述第二经校正段的所述经校正第一端的互连段,以及包括将所述经校正段断裂成以角度的有限集合排列的线集合。
16.权利要求9的方法,其中所述执行包括标识输入段内的至少一个估算点,并计算相对于所述估算点的平移以限定所述经校正段上的第一经平移点,其中所述估算点包括在所述输入段的所述第一端和所述第二端之间的所述段上的点。
17.权利要求9的方法,其中所述至少一个输入段包括在子分辨率辅助特征上的段。
18.权利要求9的方法,其中所述至少一个输入段包括在子分辨率辅助特征上的段,并且其中所述执行包括调整所述输入段以增强由所述子分辨率辅助特征所辅助的图案空间像的对比度。
19.一种用于限定材料层的掩模,所述掩模包括掩模基片;所述掩模基片上的布局图案,所述基片包括用于将图像转移到工件的材料或物理形状;所述布局图案具有包括对具有第一估算点和第二估算点的布局文件中所限定的输入段的邻近效应校正的特征;所述校正包括从所述第一估算点平移的第一经校正点、从所述第二估算点平移的第二经校正点以及连接所述第一经校正点与所述第二经校正点的互连曲线。
20.一种用于限定材料层的掩模,所述掩模包括掩模基片;所述掩模基片上的布局图案,所述基片包括用于将图像转移到工件的材料或物理形状;所述布局图案具有包括对布局文件中所限定的输入段的邻近效应校正的特征,所述校正包括具有经校正第一端和经校正第二端的经校正段,其中所述经校正段不平行于所述输入段。
21.一种用于制造集成电路的方法,所述集成电路包括至少材料层,包括接收所述集成电路一部分的计算机可读布局;利用数据处理器标识所述布局的第一估算点和第二估算点;利用数据处理器执行对所述第一估算点的邻近效应校正以便形成第一经校正点,以及执行对所述第二估算点的邻近效应校正以便形成第二经校正点;计算连接所述第一经校正点与所述第二经校正点的互连曲线以产生经校正布局段;基于所述经校正布局段产生具有掩模布局的掩模;以及利用所述掩模布局将利用对辐射能敏感的材料所处理的半导体曝光于所述辐射能。
22.一种用于制造集成电路的方法,所述集成电路包括至少材料层,包括接收集成电路一部分的计算机可读布局;利用数据处理器标识所述布局的具有第一端和第二端的至少一个输入段;利用数据处理器执行对所述输入段的邻近效应校正以便形成具有经校正第一端和经校正第二端的经校正布局段,其中所述经校正段不平行于所述输入段;基于所述经校正布局段产生具有掩模布局的掩模;以及利用掩模布局将利用对辐射能敏感的材料所处理的半导体曝光于所述辐射能。
23.一种产生用于集成电路上的层布局的掩模的方法,包括接收所述集成电路一部分的计算机可读布局;利用数据处理器标识所述布局的具有第一端和第二端的至少一个输入段;利用数据处理器执行对所述输入段的邻近效应校正以便形成具有经校正第一端和经校正第二端的经校正布局段,其中所述经校正段不平行于所述输入段;基于所述经校正布局段产生具有掩模布局的掩模。
24.一种产生用于集成电路上的层布局的掩模的方法,包括接收所述集成电路一部分的计算机可读布局;利用数据处理器标识所述布局的第一估算点和第二估算点;利用数据处理器执行对所述第一估算点的邻近效应校正以便形成第一经校正点,以及执行对所述第二估算点的邻近效应校正以便形成第二经校正点;计算连接所述第一经校正点与所述第二经校正点的互连曲线以产生经校正布局段。基于所述经校正布局段产生具有掩模布局的掩模。
25.一种用于产生布局数据的系统,包括数据处理器,其执行指令程序;存储器,可由所述数据处理器访问并存储指令程序,所述指令程序包括以下逻辑接收集成电路一部分的计算机可读布局;标识所述布局的第一估算点和第二估算点;执行对所述第一估算点的邻近效应校正以便形成第一经校正点,以及执行对所述第二估算点的邻近效应校正以便形成第二经校正点;以及计算连接所述第一经校正点与所述第二经校正点的互连曲线以生成经校正布局段。
26.一种用于生成布局数据的系统,包括数据处理器,执行指令程序;存储器,可由所述数据处理器访问并存储指令程序,所述指令程序包括以下逻辑接收集成电路一部分的计算机可读布局;标识所述布局的具有第一端和第二端的至少一个输入段;执行对所述输入段的邻近效应校正以便形成具有经校正第一端和经校正第二端的经校正布局输入段,其中所述经校正段不平行于所述输入段。
27.一种制造物品,包括存储指令程序的机器可读数据存储介质,包括以下逻辑接收集成电路一部分的计算机可读布局;标识所述布局的第一估算点和第二估算点;执行对所述第一估算点的邻近效应校正以便形成第一经校正点,以及执行对所述第二估算点的邻近效应校正以便形成第二经校正点;以及计算连接所述第一经校正点与所述第二经校正点的互连曲线以生成经校正布局段。
28.一种制造物品,包括存储指令程序的机器可读数据存储介质,包括以下逻辑接收集成电路一部分的计算机可读布局;标识所述布局的具有第一端和第二端的至少一个输入段;执行对所述输入段的邻近效应校正以便形成具有经校正第一端和经校正第二端的经校正布局段,其中所述经校正段不平行于所述输入段。
全文摘要
本发明描述了基于目标布局(504)的选定估算点(505和506)的之间的校正(501和502)的内插(503)的方法。通过连接校正点,该技术提供了减小数据量并简化掩模写、检验和修复处理的方法。相同的方法可应用于带有非印刷特征的布局,其中所述校正基于主特征的图像质量。对于矢量扫描掩模写工具,内插所述校正的段可断裂为角度适合的段。
文档编号G06K9/00GK1781106SQ200480011095
公开日2006年5月31日 申请日期2004年4月13日 优先权日2003年4月14日
发明者克里斯托弗·皮拉特 申请人:达酷美科技公司
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1