使用虚拟模块的半导体处理方法

文档序号:6867428阅读:331来源:国知局
专利名称:使用虚拟模块的半导体处理方法
技术领域
本发明涉及用于半导体晶片处理的过程模块的开发。更具体而言,本发明涉及run-to-run(运行到运行)控制器,控制器创建虚拟模块以在半导体晶片的处理期间控制由多室工具执行的多趟(multi-pass)过程。
背景技术
用在半导体工业中的处理工具已经发展成具有多个关联过程模块的综合处理系统。至少部分由于该发展趋势,工具控制系统和工厂控制系统之间的区分界限变得越来越模糊。工厂系统可以允许工具控制系统控制过程序列的某些片段。这可以为基于lot-to-lot(批次到批次)甚至wafer-to-wafer(晶片到晶片)的过程序列的片段的定制控制和优化创建新的机会,但是也产生了新的挑战。如果工厂控制系统将对晶片的控制放弃给工具平台,则其也必须将关于究竟对晶片发生了什么的直接知识放弃给同一平台。结果,为了工具平台与工厂控制系统的集成,应当创建新的体系结构。新的体系结构将要求工具平台报告对晶片发生的动作,包括方案(recipe)、测量数据、事件、异常等。新的体系结构必须要求工厂系统建立工具平台所遵循的框架,包括过程限度、可允许条件和对异常的所需响应。
另外,多模块工具需要与工厂系统相接口,遵守半导体设备和材料国际(SEMI)标准。
来自在特定模块中执行的多个事件的需要被报告给工厂系统的数据可以在晶片对同一模块进行后续访问时由工具平台的控制器或工厂系统控制器写入。这种安排的一个限制是方案不能与物理模块相关联,因为物理模块被使用不止一次。而且,物理模块可能执行不同的操作。
一种解决该问题的方法是找到一种用于使工厂和工具信息系统应对在多室过程工具中多趟经过同一模块的方法。另外,对于具有如下多室系统而言,需要二次的解决方案所述多室系统具有建立对于每个晶片(晶片采样)可能不同的行程(routing)和/或建立由工具确定的动态行程(同样包括晶片采样)的问题。具体而言,需要一种信息系统体系结构,该体系结构能够在每个模块不同地对晶片采样时、在晶片可以经过物理模块多于一次时、以及在工具可以改变序列或采样时起作用。
对于半导体处理而言,公知的是前馈控制器可以在半导体集成电路的制造中被半导体制造设施(fab)使用。一直到最近,晶片被按照批量(batch)或批次(lot)进行处理,并且对于批次中的每个晶片执行相同的处理。批次的大小依赖于fab的生产实际而有所不同,但是一般局限于最大25个晶片。例行地对批次中的少量晶片进行测量,并且基于这些样本测量结果对处理进行调节。这种基于对当前批次的样本测量和对随后的批次的过程方案调节的控制的方法被称为lot-to-lot控制(L2L)。修改L2L控制的过程方案所必需的过程模型和信息被存储,并且在fab级别执行计算。近年来,半导体处理设备(SPE)的制造商已经使得具有在处理被执行之前和之后立即测量每个晶片的能力。在处理工具上测量每个晶片的能力被称为集成度量(integrated metrology,IM)。IM继而使得能够在wafer-to-wafer(W2W)的级别上测量并调节过程方案。由于在晶片的测量和后续处理之间的时间段短和采集的数据量高,可能有必要提供在工具级别而不是在fab级别执行wafer-to-wafer(W2W)控制的能力。

发明内容
本发明的一个实施例提供了一种在包括主机系统和处理系统的半导体处理系统中操作处理系统控制器的方法。该方法包括接收静态虚拟模块计划(SVMP),其中SVMP包括至少一个晶片的期望过程结果和至少一个晶片的过程序列,过程序列包括(N个)虚拟模块(VM)对象。第一数目(Nact)的VM对象是被访问虚拟模块(VVM)对象,第二数目(Nnon)的VM对象是不被访问虚拟模块(NVVM)对象。VVM对象可以与晶片对物理模块的访问相关联,而NVVM对象可以与晶片对物理模块的不访问相关联。该方法还包括执行过程序列,当过程序列中的被访问虚拟模块(VVM)对象被执行时采集VVM数据,以及当过程序列中的不被访问虚拟模块(NVVM)对象被执行时采集NVVM数据。
另一个实施例提供了一种在包括主机系统和处理系统的半导体处理系统中操作主机控制器的方法。该方法包括创建静态虚拟模块计划(SVMP),其中SVMP包括至少一个晶片的期望过程结果和至少一个晶片的过程序列,其中过程序列包括(N个)虚拟模块(VM)对象。第一数目(Nact)的VM对象是被访问虚拟模块(VVM)对象,第二数目(Nnon)的VM对象是不被访问虚拟模块(NVVM)对象。VVM对象可以与晶片对物理模块的访问相关联,而NVVM对象可以与晶片对物理模块的不访问相关联。该方法还可包括将SVMP发送到处理系统。
另一个实施例提供了另一种在包括主机系统和处理系统的半导体处理系统中操作处理系统控制器的方法。该方法包括从主机系统接收至少一个晶片的期望过程结果,并创建动态虚拟模块计划(DVMP)。DVMP具有至少一个晶片的过程序列。该过程序列被创建以实现至少一个晶片的期望过程结果。过程序列可包括(N个)虚拟模块(VM)对象。第一数目(Nact)的VM对象是被访问虚拟模块(VVM)对象,第二数目(Nnon)的VM对象是不被访问虚拟模块(NVVM)对象。VVM对象可以与晶片对物理模块的访问相关联,而NVVM对象可以与晶片对物理模块的不访问相关联。该方法还包括将DVMP发送到主机系统。
另一个实施例提供了另一种在包括主机系统和处理系统的半导体处理系统中操作主机控制器的方法。该方法包括由主机系统从处理系统接收动态虚拟模块计划(DVMP)。DVMP可包括至少一个晶片的过程序列。该过程序列可以被创建以实现至少一个晶片的期望过程结果。过程序列可包括(N个)虚拟模块(VM)对象,其中(Nact个)VM对象是被访问虚拟模块(VVM)对象,并且(Nnon个)VM对象是不被访问虚拟模块(NVVM)对象。VVM对象可以与至少一个晶片对物理模块的访问相关联,而NVVM对象可以与至少一个晶片对物理模块的不访问相关联。该方法还可包括执行DVMP。
本发明的其他方面将从下面的描述和附图中变清楚。本发明的范围并不仅限于这里描述的各方面或上面的实施例,而是扩展到其任何和全部的等同物。


参考下面的具体实施方式
,尤其当结合附图考虑时,将更清楚地了解本发明的各种实施例和其许多伴随的优点,在附图中图1示出了根据本发明实施例的处理系统的示例性框图;图2示出了根据本发明实施例的工厂集成配置的简化框图;图3示出了根据本发明实施例的集成处理系统的简化框图;图4示出了根据本发明实施例的用于集成测量过程的简化流程图;图5示出了根据本发明实施例的多步过程序列的简化流程图;图6示出了根据本发明实施例的控制过程的简化框图;图7示出了根据本发明实施例的物理模块的示例性关系图;图8示出了根据本发明实施例的操作处理系统控制器的方法的流程图;图9示出了根据本发明另一个实施例的操作主机系统控制器的方法的流程图;图10示出了根据本发明另一个实施例的操作处理系统控制器的方法的流程图;图11示出了根据本发明另一个实施例的操作主机系统控制器的方法的流程图;图12图示了根据本发明实施例的虚拟模块(VM)控制策略屏幕的示例性示图;以及图13图示了根据本发明实施例的虚拟模块(VM)控制计划屏幕的示例性示图。
具体实施例方式
图1示出了根据本发明实施例的处理系统的示例性框图。在图示实施例中,处理系统100包括处理工具110、耦合到处理工具110的控制器120以及耦合到控制器120和处理工具110的制造设备系统(MES)130。另外,处理工具110、控制器120和MES 130中的至少一个可包括图形用户界面(GUI)组件和/或数据库组件(未示出)。在替换实施例中,不需要GUI组件和/或数据库组件。
某些设置和/或配置信息可由处理工具110和/或控制器120从工厂系统130获得。工厂级别商业规则可用于建立控制层次。例如,处理工具110和/或控制器120可以独立操作,或者可以在某种程度上由工厂系统130控制。另外,工厂级别商业规则可用于确定何时暂停和/或停止过程,以及响应于确定过程已被暂停和/或停止而采取什么动作。另外,工厂级别商业规则可用于确定何时以及如何改变过程。
商业规则也可用于规定针对正常处理采取的动作和对异常状况采取的动作。这些动作可包括(a)初始模型加载;(b)刻蚀前度量数据过滤;(c)控制器方案选择;(d)刻蚀后度量数据过滤;(e)反馈计算;以及(f)模型更新。
商业规则可以按控制策略级别、控制计划级别或控制模型级别定义。商业规则可以指定为只要遇到特定环境(context)就执行。当在较高级别以及较低级别遇到匹配的环境时,可以执行与较高级别相匹配的商业规则。GUI屏幕可用于定义和维护商业规则。对于大于正常安全级别的用户,可以允许定义和指定商业规则。商业规则可以在数据库中维护。可以提供关于如何定义、指定和维护商业规则的文档和帮助屏幕。
MES 130可以利用从与处理工具110和/或控制器120相关联的数据库报告的数据来监视某些系统过程。工厂级别商业规则可用于确定监视哪些过程和使用哪些数据。例如,处理工具110和/或控制器120可以独立采集数据,或者数据采集过程可以在某种程度上由工厂系统130控制。另外,工厂级别商业规则可用于确定当过程被改变、暂停和/或停止时如何管理数据。
另外,MES 130可以向处理工具110和/或控制器120提供运行时(run-time)配置信息。例如,自动过程控制(APC)设置、目标、限度、规则和算法可以从工厂下载到处理工具110和/或控制器120,作为运行时的“APC方案”、“APC系统规则”和“APC方案参数”。
某些设置和/或配置信息可由处理工具110和/或控制器120在其最初被系统100配置时确定。系统级别商业规则(系统规则)可用于建立控制层次。例如,处理工具110和/或控制器120可以独立操作,或者处理工具110可以在某种程度上由控制器120控制。另外,系统规则可用于确定何时暂停和/或停止过程,以及当暂停和/或停止过程时采取什么动作。另外,系统规则可用于确定何时改变过程以及如何改变过程。此外,控制器120可以使用工具级别规则来控制某些工具级别的操作。
通常,规则允许系统和/或工具操作基于系统的动态状态而改变。
尽管图1描述了一个处理工具110和一个控制器120,但是应当意识到,这并不是本发明所必需的。半导体处理系统100可包括任何数目的处理工具110,这些处理工具110除了独立处理工具110和模块以外,有任意数目的控制器120与其相关联。
处理工具110和/或控制器120可用于配置任何数目的处理工具110,这些处理工具110除了任意数目的独立处理工具110和模块以外,有任意数目的控制器120与其相关联。处理工具110和/或控制器120可以采集、提供、处理、存储和显示来自涉及处理工具、处理子系统、过程模块和传感器的过程的数据。
处理工具110和/或控制器120可包括多个应用,包括(a)至少一个与工具有关的应用;(b)至少一个与模块有关的应用;(c)至少一个与传感器有关的应用;(d)至少一个与接口有关的应用;(e)至少一个与数据库有关的应用;(f)至少一个与GUI有关的应用;以及(g)至少一个配置应用。
例如,系统100可包括来自Tokyo Electron Limited的自动过程控制(Automatic Process Control,APC)系统,其可以包括Unity工具、Telius工具和/或Trias工具以及它们的相关联的处理子系统和过程模块。另外,系统可包括run-to-run(运行到运行,R2R)控制器,例如来自TokyoElectron Limited的Ingenio TL ES服务器,以及来自Tokyo Electron Limited的集成度量模块(IMM)。或者,控制器120可以支持其他过程工具和其他过程模块。
GUI组件(未示出)可以为使用界面提供便利,该界面使得用户能够(a)查看工具状态和过程模块状态;(b)为选定晶片创建并编辑汇总的和原始(跟踪)参数数据的x-y图表;(c)查看工具报警日志;(d)配置数据采集计划,该计划规定了用于将数据写入到数据库或输出文件的条件;(e)将文件输入到统计过程控制(SPC)制图、建模和电子制表程序;(f)审查特定晶片的晶片处理信息,并检查当前被保存到数据库的数据;(g)创建并编辑过程参数的SPC图,并设置生成电子邮件警告的SPC报警;(h)运行多变量PCA和/或PLS模块;以及(i)查看诊断屏幕以排查问题,并将问题报告给控制器120。
来自工具的原始数据和跟踪数据可以作为文件存储在数据库中。另外,IM数据和主机度量数据可以存储在数据库中。数据量取决于被配置的数据采集计划,以及过程被执行和处理工具被运行的频率。从处理工具、处理室、传感器和操作系统获得的数据可以存储在数据库中。
在替换实施例中,系统100可包括客户端工作站(未示出)。系统100可以支持多个客户端工作站。客户端工作站可以允许用户执行配置流程以(a)查看包括工具、控制器、过程和工厂状态在内的状态;(b)查看当前和历史数据;(c)执行建模和制图功能;以及(d)将数据输入到控制器。例如,用户可被提供以允许用户控制由控制器执行的一个或多个过程的管理权限。
处理工具110和控制器120可以耦合到MES 130,并且可以是E-Diagnostic System的一部分。处理工具110和/或控制器120可以与工厂系统交换信息。另外,MES 130可以向处理工具110和/或控制器120发送命令和/或超驰(override)信息。例如,MES 130可以向处理工具110和/或控制器120前馈针对任何数目的过程模块、工具和测量设备的可下载方案,以及对于每个方案的可变参数。可变参数可包括最终临界尺寸(CD)目标、限度、偏移以及需要是可逐批次(lot)调节的工具级别系统中的变量。另外,工厂光刻CD度量数据可被前馈到控制器120。
此外,MES 130可用于向控制器120提供测量数据,例如临界尺寸扫描电子显微镜(CD SEM)信息。或者,CD SEM信息可以手工提供。调节因子被用于调节IM和CD SEM测量结果之间的任何偏移。CD SEM数据的手工和自动输入包括诸如日期之类的时间戳,以适当地插入到R2R控制器中的反馈(FB)控制环的历史中。
可配置项目可被配置为利用通用模型SEMI设备通信标准(GenericModel SEMI Equipment Communications Standard,GEM SECS)通信协议从工厂系统发送的一组可变参数。例如,可变参数可作为“APC方案”的一部分被传递。APC方案可包含多于一个子方案,并且每个子方案可包含可变参数。
如上所述,在图1中也是示出了单个处理工具110,但是这并不是本发明所必需的,因为可以使用额外的处理工具。在一个实施例中,处理工具110可包括一个或多个处理模块。处理工具110可包括例如刻蚀模块、沉积模块、抛光模块、涂覆模块、显影模块和热处理模块中的至少一个。
处理工具110可包括用于耦合到至少一个其他处理工具和/或控制器的链路112、114。例如,其他的处理工具和/或控制器可以与先前已执行的过程相关联,并且/或者其他控制器可以与随后执行的过程相关联。链路112、114可用于前馈和/或反馈信息。例如,前馈信息可包括与传入晶片相关联的数据。该数据可包括批次数据、批量数据、运行数据、成分数据和晶片历史数据。数据可包括可用于建立晶片的输入状态的过程前(pre-process)数据。过程前数据的第一部分可被提供给控制器120,过程前数据的第二部分可被提供给处理工具110。或者,这两个部分可包括相同的数据。
处理工具110可包括单个集成度量模块(IMM)设备(未示出)或多个测量设备。系统100可包括模块相关测量设备、工具相关测量设备和外部测量设备。例如,数据可以从耦合到一个或多个过程模块的传感器以及耦合到处理工具的传感器获得。另外,数据可以从诸如SEM工具和光学数字仿形(Optical Digital Profiling,ODP)工具之类的外部设备获得。ODP工具可以从Timbre Technologies Inc.(一家TEL公司)得到,该工具提供了一种用于测量半导体器件中的特征轮廓的专利技术。例如,ODP技术可用于获得临界尺寸(CD)信息、特征轮廓信息或通孔轮廓信息。
控制器120耦合到处理工具110和MES 130,并且可以在其间交换诸如处理前数据和处理后数据之类的信息。例如,当从工具110生成内部复位事件时,控制器120可以向MES 130发送诸如报警之类的消息。这可以允许工厂系统和/或工厂人员在发生重要改变之后进行必要的改变以最小化有风险的晶片数,这些改变例如是在校正或预防性维护期间发生的那些改变。
在图1中也是示出了单个控制器120,但是这并不是本发明所必需的,因为可以使用额外的控制器。例如,一个控制器120可包括run-to-run(R2R)控制器、前馈(FF)控制器、过程模型控制器、反馈(FB)控制器、或过程控制器或者其两者或更多者的组合(这些都未在图1中示出)。
控制器120可包括用于耦合到至少一个其他控制器120的链路122、124。例如,其他控制器120可以与先前已执行的过程相关联,并且/或者其他控制器120可以与随后执行的过程相关联。链路122、124可用于前馈和/或反馈信息。
控制器120可以使用传入材料的测量临界尺寸(输入状态)和目标临界尺寸(期望状态)之间的差来预测、选择或计算一组过程参数,来获得将晶片状态从输入状态改变为期望状态的期望过程结果。例如,这组预测的过程参数可以是基于输入状态和期望状态的要使用的方案的第一估计。在一个实施例中,诸如输入状态和/或期望状态数据之类的数据可以从主机获得。
在一种情况下,控制器120知道输入状态和晶片的期望状态的模型方程,并且控制器120确定可以对晶片执行的将晶片从输入状态改变为处理后状态的一组方案。例如,这组方案可以描述涉及一组过程模块的多步过程。
控制器120的时间常数可以基于测量之间的时间。当测量数据可在完成一个批次之后得到时,控制器的时间常数可以基于批次之间的时间。当测量数据可在完成一个晶片之后得到时,控制器的时间常数可以基于晶片之间的时间。当测量数据在处理期间实时提供时,控制器的时间常数可以基于晶片内的处理步骤。当测量数据可在处理晶片的同时或在完成一个晶片后或在完成一个批次后得到时,控制器120可以具有多个时间常数,这些时间常数可以基于过程步骤之间的时间、晶片之间的时间和/或批次之间的时间。
一个或多个控制器可以工作在任何时间点。例如,一个控制器可以处于工作模式,而第二控制器可以处于监视模式。另外,另一个控制器可以工作在仿真模式。控制器可包括单个环路或多个环路,并且这些环路可以具有不同的时间常数。例如,环路可以依赖于晶片定时、批次定时、批量定时、室定时、工具定时和/或工厂定时。
控制器120可以工作为单输入单输出(SISO)设备、单输入多输出(SIMO)设备、多输入单输出(MISO)设备和多输入多输出(MIMO)设备。另外,输入和输出可以是在控制器内和/或一个或多个控制器之间。例如,当使用诸如CD和侧壁角之类的多个输入时,输入和输出可以在两个模块(即,一个用于CD控制,一个用于侧壁角控制)之间前馈和反馈。另外,还可以使用掩模开口控制器。在包括多个模块的多过程情况下,信息可以从一个控制器前馈或反馈到另一个控制器。
控制器120可以基于输入状态、过程特性和过程模型来计算晶片的预测状态。例如,修饰速率模型(trim rate model)可以与处理时间一起使用来计算预测修饰量(trim amount)。或者,刻蚀速率模型可以与处理时间一起使用来计算刻蚀深度,并且沉积速率模型可以与处理时间一起使用来计算沉积厚度。另外,模型可包括SPC图表、PLS模型、PCA模型、适应距离相关(Fitness Distance Correlation,FDC)模型和多变量分析(Multivariate Analysis,MVA)模型。
控制器120可以接收并利用外部提供的用于过程模块中的过程参数限度的数据。例如,控制器GUI组件提供了用于过程参数限度的手工输入的装置。另外,工厂级别控制器可以提供对于每个过程模块的过程参数的限度。
控制器120可以接收并执行由可购得的建模软件创建的模型。例如,控制器120可以接收并执行由外部应用创建的并且被发送到控制器120的模型(PLS、PCA等)。
控制器120可包括一个或多个过滤器(未示出),以对度量数据过滤从而去除随机噪声。离群点(outlier)过滤器可用于去除静态无效并且在计算晶片测量结果的平均值中不应当被考虑的离群点。噪声过滤器可用于去除随机噪声并稳定控制环路,可以应用指数加权运动平均(Exponentially Weighed Moving Average,EWMA)或卡尔曼(Kalman)滤波器。
控制器120可以发送和接收异常状况的通知。例如,控制器120可以将通知发送到工厂级别控制器或工具级别控制器以及从其接收通知。另外,通知可以在识别到异常状况之后经由e-Diagnostics网络、电子邮件或寻呼器发送。
控制器120可包括用于存档输入和输出数据的数据库组件。例如,控制器120可以将接收的输入、发送的输出以及控制器采取的动作存档在可搜索数据库中。另外,控制器120可包括用于数据备份和恢复的装置。另外,可搜索数据库可包括模型信息、配置信息和历史信息,并且控制器120可以使用数据库组件来备份并恢复历史的和当前的模型信息和模型配置信息。
控制器120可包括基于web的用户界面。例如,控制器120可包括用于查看数据库中的数据的有web能力的GUI组件。控制器120可包括安全组件,安全组件可以依赖于由安全管理员授予的许可来提供多个访问级别。控制器120可包括一组在安装时提供的默认模型,以使得控制器可以复位到默认状况。
取决于异常的性质,控制器120可以响应于异常而采取各种动作。针对异常采取的动作可以基于针对由系统方案规定的环境而建立的商业规则、过程方案、模块类型、模块标识号、加载端口号、盒号、批次号、控制任务ID、过程任务ID和/或槽号(slot number)。
控制器120具有管理多个过程模型的能力,这些过程模型是同时执行的,并且受到不同组的过程方案约束。控制器120可以运行在三种不同模式中仿真模式、测试模式和标准模式。控制器120可以工作在与实际过程模式平行的仿真模式中。
当两个不同的方案可以由同一物理模块(PM)执行时,并且如果不使用虚拟模块,则与经过物理模块的第一次趟相关联的数据可能变得和与经过物理模块的第二趟相关联的数据相混淆。如果不使用虚拟模块,则控制器120和/或主机控制器可能用来自经过模块的第二趟的数据重写来自经过该模块的第一趟的数据。
在一个实施例中,可以使用诸如(VMx)之类的命名惯例。例如,第一虚拟模块可以标识为VM1,第n虚拟模块可以标识为VMn。或者,可以使用其他命名惯例,例如模块(xx)或(MODxx)。例如,虚拟模块数据可以利用虚拟模块名作为索引项存储在数据库中。
在另一个实施例中,主机系统可包括一个或多个外部测量模块,例如CD SEM模块或透射电子显微术(TEM)模块,并且虚拟模块可用于建立包括对外部测量模块的访问的过程序列,以及建立与外部测量模块相关联的数据文件的命名惯例。主机级别控制器和/或R2R控制器可以使用与外部测量模块相关联的数据文件。另外,不同的外部测量模块可用于执行不同方案。虚拟模块可用于允许工具级别和/或系统级别控制器安排外部测量模块的使用,以最大化外部测量模块利用率并最小化吞吐时间。
另外,虚拟模块可以在处理系统控制器执行仿真模型时使用。来自仿真模型执行的结果可以利用虚拟模块被存储和跟踪。
在一种情况下,主机可以指示指导控制器120使用一个或多个虚拟模块的行程序列(routing sequence)。主机可以提供在存储数据和/或在控制器和主机之间传递数据时使用的命名惯例。主机可以向过程序列中的一个或多个过程对象分配虚拟模块名。控制器120可以为每个虚拟模块创建过程任务,并且将物理模块(PM)与每个虚拟模块(VM)相关联。在另一种情况下,主机可以允许较低级别控制器创建虚拟模块。随着处理工具变得更加复杂,工具级别和/或系统级别控制器可能被用于基于资源利用率的最大化和吞吐时间的最小化来确定使用哪些处理和/或测量模块。虚拟模块可用于允许工具级别和/或系统级别控制器安排物理模块的使用,以最大化过程模块利用率并最小化吞吐时间。
控制器可以为每个虚拟模块创建过程任务,并且将物理模块与每个虚拟模块(VM)相关联。
当半导体处理系统包括主机系统和一个或多个处理系统时,主机系统可以工作为主系统,并且可以控制和/或监视处理操作的主要部分。主机系统可以创建包括过程序列的静态虚拟模块计划(SVMP),并且还可以将SVMP发送到处理系统。在一个实施例中,过程序列可包括虚拟模块(VM)对象和/或物理模块(PM)对象的序列。
图2示出了根据本发明实施例的工厂集成配置的简化框图。在图示实施例中,示出了耦合到处理系统的工厂系统。一种打算利用本发明的处理系统是由Tokyo Electron Limited提供的TELIUSTM处理系统。然而,本领域技术人员将意识到,可以采用其他处理系统,而不脱离本发明的范围。
如图所示,工厂系统是MES 130,其包括先进过程控制(APC)能力、数据采集策略(DCS)、适应距离相关模块(FDC)和诊断系统E1等等。在图示示例中,MES 130工厂系统经由E diagnostic链路与TELIUSTM处理系统通信并交换信息。
图3示出了根据本发明实施例的集成处理系统的简化框图。在图示实施例中,示出了诸如(TELIUSTM)处理系统之类的处理系统,其包括处理工具、集成度量模块(IMM)和工具级别先进过程控制(APC)控制器。
图4示出了根据本发明实施例的用于集成测量过程的简化图。在图示实施例中,集成度量(IM)过程基于光学数字仿形仪(ODP)技术。例如,在处理后(例如,经刻蚀和/或修饰)的晶片上采集谱数据,并将其匹配到ODP库中的仿真谱。匹配后的谱对应于具有CD、膜厚和侧壁聚合物信息的轮廓。度量数据可被报告给处理系统和/或主机系统。
图5示出了根据本发明实施例的多步过程序列的简化流程图。在图示实施例中,示出了多个测量步骤,并且示出了若干处理步骤(例如,抗蚀剂修饰、刻蚀、cor修饰等)以及反馈和前馈控制能力。对于示出的每个测量步骤和每个处理步骤,可以创建一个或多个虚拟模块对象和/或物理模块。这并不是本发明所必需的。或者,可以使用其他过程序列,并且可以使用不同数目的虚拟模块对象。
图6示出了根据本发明实施例的控制过程的简化框图。在图示的实施例中,示出了控制计划的简化框图。可以创建虚拟模块控制计划和/或策略,并映射到物理模块。
图7示出了根据本发明实施例的用于物理模块的示例性关系图。在图示实施例中,示出了六个物理模块,这六个物理模块包括四个处理模块(PM1~PM4)和两个测量模块(IMM1和IMM2)。这并不是本发明所必需的。在替换实施例中,物理模块的数目和其排列可以不同。
还示出了示例性的行程或过程序列,但是这并不是本发明所必需的。在替换实施例中,可以使用不同的序列。例如,一个虚拟模块可以用于每个行程步骤。
图8提供了根据本发明实施例的操作处理系统控制器的方法的流程图。在图示实施例中,示出了基于晶片的流程800,但是这并不是本发明所必需的。即,该流程可以是基于批次的或基于批量的流程。流程800开始于任务810,并且开始事件可以是例如主机事件、晶片进入事件、批次开始事件、批量开始事件或来自另一过程的结束事件。
处理系统可包括处理模块和测量模块。处理模块可包括刻蚀模块、沉积模块、化学氧化物去除(COR)模块、加热模块、转移模块、冷却模块、或显影模块,或者其两者或更多者的组合。测量模块可包括光学测量模块、光学数字仿形(ODP)模块、SEM模块、TEM模块或其两者或更多者的组合。
在任务820中,处理系统控制器从主机系统接收晶片的静态虚拟模块计划(SVMP)。SVMP可包括供处理系统使用以处理一个或多个晶片的一组指令。当使用SVMP时,主机系统充当主控制器。
SVMP还可包括可由主机系统确定的晶片的期望过程结果。期望过程结果可包括修饰量、刻蚀量、沉积量或其两者或更多者的组合。
而且,SVMP可包括晶片可用来实现期望过程结果的经过物理模块的实际的趟数(Nact)、晶片可用来实现期望过程结果的经过物理模块的最大趟数(Nmax)以及对物理模块的不访问次数(Nnon)。SVMP可包括用于每个物理模块的过程方案。
此外,SVMP可包括多访问计划(multi-visit plan),其中该计划可用在当不同晶片对处理模块进行不同次数的访问时。多访问计划可以是依晶片而定的,即,某些晶片将需要对处理模块的多次访问,而其他晶片将需要对处理模块的单次访问或者不需要访问。
在一个实施例中,多访问计划可由主机控制器确定并被发送到R2R控制器。在替换实施例中,诸如R2R控制器之类的另一个控制器可用于创建和/或修改多访问计划。
另外,SVMP可包括采样计划,其中采样计划可用在当不同晶片对测量模块进行不同次数的访问时。采样计划还可用于确定何时进行过程前和过程后测量。与多访问计划相似,采样计划可以是依晶片而定的,其中某些晶片可能需要对测量模块的多次访问,而其他晶片可能需要对测量模块的单次访问或者不需要访问。
在一个实施例中,采样计划可由主机控制器确定并被发送到R2R控制器。在替换实施例中,诸如R2R控制器之类的另一个控制器可用于创建和/或修改采样计划。
SVMP可能要求晶片访问物理模块X次,其中X是等于或大于0的整数,并且SVMP可能要求晶片跳过物理模块Y次,其中Y是等于或大于0的整数。过程序列可包括N个过程对象,其中(N=XMax+YMax),XMax是访问的最大数目,YMax是不访问(被跳过的访问)的最大数目。
表1


上面的表1示出了用于八个过程对象的若干不同示例性过程序列。在该示例中,对第一测量模块(IM01)的最大访问次数等于3,对第二测量模块(IM02)的最大访问次数等于1,对第一处理模块(PM01)的最大访问次数等于2,对第二处理模块(PM02)的最大访问次数等于2。在替换实施例中,处理模块的数目可以不同,测量模块的数目可以不同,并且最大访问次数可以不同。
在过程序列#1中,晶片可以对第一测量模块的实际访问次数等于在该示例中可允许的最大次数。类似地,晶片可以对第二测量模块的实际访问次数等于在该示例中可允许的最大次数。而且,晶片可以对第一处理模块的实际访问次数等于在该示例中可允许的最大次数。类似地,晶片可以对第二处理模块的实际访问次数等于在该示例中可允许的最大次数。这并不是本发明所必需的。图示的过程序列可以应用于一个或多个晶片。
在第一过程序列中,第一虚拟模块VMi对象可以与对第一测量模块的第一次访问IM01(1)相关联;第二虚拟模块VM2对象可以与对第一处理模块的第一次访问PM01(1)相关联;第三虚拟模块VM3对象可以与对第一处理模块的第二次访问PM01(2)相关联;第四虚拟模块VM4对象可以与对第一测量模块的第二次访问IM01(2)相关联;第五虚拟模块VM5对象可以与对第二处理模块的第一次访问PM02(1)相关联;第六虚拟模块VM6对象可以与对第二处理模块的第二次访问PM02(2)相关联;第七虚拟模块VM7对象可以与对第一测量模块的第三次访问IM01(3)相关联;第八虚拟模块VM8对象可以与对第二测量模块的第一次访问IM02(1)相关联。
如上关于SVMP所述,晶片可以对物理模块进行一次或多次访问(例如,多访问计划),并且晶片可以跳过对物理模块的一次或多次访问(例如,采样计划)。
第一示例性过程序列可以在以下情况下发生期望过程结果要求对第一测量模块的三次访问,对第一处理模块的两次访问,对第二处理模块的两次访问,以及对第二测量模块的一次访问。其他的过程序列可以在利用不同的过程步骤的组合可以实现期望过程结果时发生。当在单趟经过一个处理模块或一组处理模块的情况下不能实现期望过程结果时,可能需要对处理模块的多次访问。例如,所需刻蚀量、所需修饰量或所需沉积量可能大于单趟经过处理模块可以实现的量。对测量模块的多次访问可以在需要过程前和/或过程后测量时发生。
表1还图示了在晶片对物理模块的实际访问次数小于可允许的最大次数时可能发生的某些其他过程序列的示例。对处理模块的实际访问次数可以等于或大于0。例如,当不需要诸如修饰过程或刻蚀过程之类的过程时,对处理模块的实际访问次数可以为0。另外,对测量模块的实际访问次数可以等于或大于0。例如,当不需要诸如处理工具相关测量过程或主机系统相关测量过程之类的测量过程时,对测量模块的实际访问次数可以为0。
在第二过程序列中,第八虚拟模块VM8可以与对第二测量模块(IM02)的不访问(被跳过的访问)相关联。在包括虚拟模块对象的过程序列中,允许晶片跳过对物理模块的一次或多次访问,并且可以建立“占位符”(placeholder)虚拟模块。当虚拟模块被用作占位符时,生成了最少量的数据。例如,数据库可包括虚拟模块名和“空”(null)标识符。
当可能需要对物理模块进行不同次数的访问以实现不同晶片的期望过程结果时,SVMP可被配置为使用虚拟模块来代表对物理模块的不同访问次数。在过程序列中需要的虚拟模块对象的数目可以等于对一个或多个物理模块需要的最大访问次数。在替换实施例中,可以使用多访问计划或采样计划。
被访问虚拟模块(VVM)对象可以代表经过(访问)物理模块的单趟。VVM对象的最大数目等于经过物理模块的最大趟数。在这种情况下,VVM对象的实际数目等于经过一个或多个被访问物理模块的实际趟数。诸如事件数据和测量数据之类的VVM数据可被发送到VVM对象的主机系统。
不被访问虚拟模块(NVVM)对象可以代表对物理模块的不访问(被跳过的访问)。NVVM对象的最大数目等于对物理模块的最大不访问次数。在这种情况下,NVVM对象的实际数目等于对一个或多个不被访问的物理模块的实际不访问次数。诸如事件数据和占位符数据之类的NVVM数据可被上载到NVVM对象的主机系统。
表2

上面的表2示出了与表1中所示的过程序列相关联的被访问虚拟模块(VVM)对象和不被访问虚拟模块(NVVM)对象。在该示例中,对第一测量模块(IM01)的最大访问次数等于3,对第二测量模块(IM02)的最大访问次数等于1,对第一处理模块(PM01)的最大访问次数等于2,对第二处理模块(PM02)的最大访问次数等于2。示例性过程序列具有等于8(例如,3+1+2+2=8)的长度。在替换实施例中,处理模块的数目可以不同,测量模块的数目可以不同,并且最大访问次数可以不同。
在表2代表的示例中,与晶片#1相关联的被访问虚拟模块(PM)对象的实际数目等于在该示例中晶片#1对第一测量模块的实际访问次数加上晶片#1对第二测量模块的实际访问次数加上晶片#1对第一处理模块的实际访问次数加上晶片#1对第二处理模块的实际访问次数。这并不是本发明所必需的。针对晶片#1所示的过程序列可以应用于一个或多个晶片。
表2还示出了在晶片对模块的实际访问次数小于可允许的最大次数时可能发生的某些其他过程序列的示例。不访问次数可以等于最大访问次数减去对物理模块的实际访问次数,并且不被访问虚拟模块(NVVM)对象的数目可以等于最大访问次数减去对物理模块的实际访问次数。例如,当不需要物理过程时,对物理模块的实际不访问次数可以等于最大的可允许访问次数。
在该示例中,与晶片相关联的VVM对象的数目等于晶片对物理模块的实际访问次数,并且与晶片相关联的NVVM对象的数目等于晶片对物理模块的实际不访问次数。
在一个实施例中,测量模块可以利用样本计划被控制,样本计划是依晶片而定的,并且由过程任务确定。利用样本计划,系统方案可利用具有需要的最大数目的测量模块访问的晶片行程来配置,然后对于不同的测量模块采样使用相同的系统方案。测量模块数据可被发送到控制计划(Control Plan)或反馈计划(Feedback Plan)。
返回图8,基于晶片的流程800在任务830中执行过程序列。应当意识到,一个或多个处理系统控制器(例如R2R控制器)可用于执行过程序列。在一个实施例中,过程序列包括N个虚拟模块对象,并且可被写为(VM1,VM2,...VMN)。过程序列可包括第一数目的VVM对象和第二数目的NVVM对象。
处理系统控制器可包含用于控制系统操作的多个APC方案(控制策略(Control Strategy)),一个控制策略可以与一个系统方案相关联。控制策略可包含控制计划,并且处于控制下的物理模块可以具有为访问物理模块而定义的至少一个控制计划。控制计划包含模型、限度、目标,并且可以覆盖模块内的多个过程步骤。在一个实施例中,可以建立虚拟控制策略和/或计划,并且映射到物理模块。控制策略和/或计划可以在接收和/或创建过程任务(Process Job,PJ)时建立。
另外,处理系统控制器可以另外执行被访问虚拟模块(VVM)数据采集(DC)策略,VVM数据采集(DC)策略包括至少一个被访问虚拟模块(VVM)数据采集(DC)计划。VVM DC策略选择和发起也可以是基于环境的。当执行VVM DC策略时,可以对正在物理模块中处理的晶片采集VVM数据。
DC计划确定采集哪些数据,如何采集数据,以及将数据存储在何处。处理系统控制器可以自动生成虚拟模块的数据采集计划。一般来说,一个数据采集计划可以对于特定模块在某一时刻是活动的,并且控制器可以选择并使用与晶片环境相匹配的数据采集计划。数据可包括跟踪数据、过程日志信息、方案数据、维护计数器数据、OES数据、VIP数据、或模拟数据,或其两者或更多者的组合。测量设备和/或传感器可以由DC计划启动和停止。DC计划还可以提供用于修饰数据、裁减数据以及处理尖峰数据(spike data)和离群点的信息。
此外,处理系统控制器可以在过程序列中的VVM对象被执行时执行被访问虚拟模块(VVM)分析策略。VVM分析策略可包括被访问虚拟模块(VVM)分析计划、或被访问虚拟模块(VVM)判断计划或其组合。当执行VVM分析策略时,可以分析晶片数据、过程数据和/或模块数据,并且可以识别故障状况。
例如,在已经采集了数据之后,数据可被发送到统计过程控制(Statistical Process Control,SPC)程序用于运行规则评估。SPC限度可以基于历史数据自动计算,或者基于客户的经验或过程知识手工输入,或者从主机计算机获得。SPC图表可以由控制器自动生成以用于虚拟模块。
可以将数据与警告和控制限度相比较,并且当违反运行规则时,可以生成SPC报警,指示过程已经超过了统计限度。当生成报警时,处理系统控制器可以执行通知或干涉。通知可以经由电子邮件,或者通过电子邮件激活的寻呼器发送。另外,处理系统控制器可以通过或者在当前批次结束时暂停过程,或者在当前晶片结束时暂停过程来执行干涉。处理系统控制器可以识别导致生成报警的虚拟模块。
在一个实施例中,处理系统控制器可以为过程序列中的每个VVM对象确定过程方案。或者,过程方案可以由主机系统确定、发送和/或验证。
当过程序列中的虚拟模块与对物理模块的不访问相关联时,处理系统控制器还可以执行不被访问虚拟模块(NVVM)控制策略。不被访问虚拟模块(NVVM)控制策略可包括一个或多个不被访问虚拟模块(NVVM)控制计划。还可以执行不被访问虚拟模块(NVVM)数据采集(DC)策略,NVVM数据采集(DC)策略可包括用于采集NVVM数据的一个或多个不被访问虚拟模块(NVVM)数据采集(DC)计划。
当执行NVVM控制策略时,晶片不在处理模块中被处理。当执行NVVM DC策略时,可以对晶片采集NVVM数据。
在一个实施例中,处理系统控制器可用于确定过程序列中每个NVVM对象的“空”方案。例如,“空”方案可用于将晶片移入物理模块中作为“保持(holding)”类型的操作。或者,“空”方案可用于将晶片移到临时存放点,例如转移模块、加载模块、存放模块、加热模块或冷却模块。
当执行NVVM对象时,处理系统控制器可以创建具有最少量数据的数据文件。NVVM对象可以在晶片不需要在一个物理模块中进行一个或多个处理步骤时发生。处理系统控制器在NVVM对象的执行期间可以使晶片保持在其当前位置或者将其移到保持位置。或者,“空”方案可用于将晶片移到临时存放点,例如转移模块、加载模块、存放模块、加热模块或冷却模块。
当虚拟模块被用作占位符时,生成了最少量的数据。例如,数据文件可包括虚拟模块名和“空”标识符。
在任务840中,基于晶片的流程800采集数据。具体而言,当过程序列中的虚拟模块对象与经过物理模块的实际通过相关联时,处理系统控制器可以采集被访问虚拟模块(VVM)数据。当过程序列中的虚拟模块与对物理模块的不访问相关联时,处理系统控制器还可以采集不被访问虚拟模块(NVVM)数据。VVM数据和NVVM数据可以存储在与处理系统相关联的数据库中。
在一个实施例中,处理系统控制器可以向主机系统发送被访问虚拟模块(VVM)数据或不被访问虚拟模块(NVVM)数据或其组合。另外,VVM数据和NVVM数据可以存储在与主机系统相关联的数据库中。
在采集了VVM和NVVM数据之后,流程800可以发出查询以确定是否另一的晶片需要处理,如任务850所示。如果需要,则流程800返回任务830以继续过程序列,如图8所示。当另外的晶片不需要处理时,流程800前进到任务860以终止流程。
图9示出了根据本发明另一个实施例的操作主机系统控制器的方法的流程图。在图示实施例中,示出了基于晶片的流程900,但是这并不是本发明所必需的。或者,该流程可以是基于批次或基于批量的。流程900开始于910,其中例如,开始事件可以是晶片进入事件、批次开始事件、或批量开始事件,或者来自另一过程的结束事件。
在任务920中,主机控制器可以利用晶片的期望过程结果确定所需过程序列。在确定了过程序列后,在任务930中,主机控制器可以创建静态虚拟模块计划(SVMP)。SVMP可包括过程序列、过程序列长度(N)、VVM对象的数目(Nact)、NVVM对象的数目(Nnon)、经过每个物理模块的最大趟数(Nmax)或其两者或更多者的组合。
主机控制器可以确定晶片实际用来实现期望过程结果的经过物理模块的实际趟数(Nact),并且可以为晶片经过物理模块的实际的每趟建立VVM对象。另外,主机控制器可以确定晶片实际用来实现期望过程结果的对物理模块的实际不访问次数(Nact),并且可以为与晶片相关联的每个不访问(被跳过的访问)建立NVVM对象。主机控制器还可以确定晶片可以用来实现期望过程结果的经过每个物理模块的最大趟数(Nmax)。另外,主机控制器可以确定晶片的过程序列长度(N),其中过程序列长度(N)等于与每个物理模块相关联的访问和不访问的最大次数的和。而且,主机控制器可以确定对与晶片相关联的物理模块的访问次数(Nact),其中(Nact)是等于或大于0的整数,并且可以为对与晶片相关联的物理模块的每次访问(Nact)建立被访问虚拟模块(VVM)对象。另外,主机控制器可以确定对与晶片相关联的物理模块的不访问次数(Nnon),其中(Nnon=Nmax-Nact),Nnon是等于或大于0的整数,并且主机控制器可以为对与晶片相关联的物理模块的每次不访问(Nnon)建立不被访问虚拟模块(NVVM)对象。此外,主机控制器可以确定包括(Nact个)VVM对象和(Nnon个)NVVM对象的过程序列,并且过程序列中的每个VVM对象可以与经过物理模块的实际通过相关联,过程序列中的每个NVVM对象与对物理模块的不访问相关联。
在创建了SVMP之后,主机将SVMP发送到处理系统,如任务940所示。流程900可以在任务950中终止。
当处理系统执行SVMP时,处理系统可以与主机系统交换数据。例如,当处理系统执行过程序列并且被访问虚拟模块(VVM)对象被执行时,主机系统可以接收VVM数据。另外,当处理系统执行过程序列并且不被访问虚拟模块(NVVM)对象被执行时,主机系统可以接收NVVM数据。
在一个实施例中,主机控制器可以确定过程序列中每个VVM对象的过程方案,并且可以将过程序列中每个VVM对象的过程方案发送到处理系统。主机控制器可以确定过程序列中每个NVVM对象的过程方案,并且主机控制器可以将过程序列中每个NVVM对象的过程方案发送到处理系统。
主机控制器还可以确定期望过程结果,期望过程结果可包括修饰量、刻蚀量、或沉积量,或其两者或更多者的组合。
当半导体处理系统包括主机系统和一个或多个处理系统时,主机系统和处理系统可以一起工作以控制和/或监视处理操作。处理系统与主机系统相协作可以创建包括过程序列的动态虚拟模块计划(DVMP),并且处理系统控制器可以将DVMP发送到主机系统。在一个实施例中,过程序列可包括虚拟模块(VM)对象的序列。
图10示出了根据本发明另一个实施例的操作处理系统控制器的方法的流程图。在图示实施例中,示出了基于晶片的流程1000,但是这并不是本发明所必需的。或者,该流程可以是基于批次或基于批量的。流程1000开始于任务1010。例如,开始事件可以是晶片进入事件、批次开始事件、或批量开始事件,或来自另一过程的结束事件。
在任务1020中,处理系统控制器可以从主机系统接收期望过程结果。期望过程结果可包括修饰量、刻蚀量、或沉积量,或其两者或更多者的组合。
在一个实施例中,处理系统和主机系统协同工作以确定用于处理晶片的正确过程序列。例如,在诸如COR过程之类的修饰过程中,某些晶片可能不需要经过COR模块,某些晶片可能需要经过COR模块一趟,而其他晶片可能需要经过COR模块多于一趟。在这种情况下,主机系统允许处理系统确定经过COR模块和虚拟模块的趟数。可以建立趟数来管理针对不同晶片的过程序列中不同数目的过程对象。
基于从主机系统接收的期望过程结果,处理系统控制器利用接收的期望结果创建晶片的动态虚拟模块计划(DVMP),如任务1030所示。在一个实施例中,DVMP可包括晶片的期望过程结果,晶片可用来实现期望过程结果的经过物理模块的实际趟数(Nact)、晶片可用来实现期望过程结果的经过物理模块的最大趟数(Nmax)、过程序列和晶片的过程序列长度(N)。过程序列长度(N)可以等于经过物理模块的最大趟数(Nmax)。过程序列可包括Nnon个虚拟模块对象,并且过程序列中的被访问虚拟模块(VVM)对象可以与经过物理模块的实际通过相关联,而不被访问虚拟模块(NVVM)对象可以与对物理模块的不访问相关联。
在任务1040中,处理系统控制器将DVMP发送到主机系统。
当已经确定了过程序列时,处理系统控制器可以执行过程序列,并且过程序列可包括N个虚拟模块对象,并且可包括VVM对象、NVVM对象或其组合。当过程序列中的被访问虚拟模块(VVM)对象被执行时,VVM数据可以被采集,当过程序列中的不被访问虚拟模块(NVVM)对象被执行时,NVVM数据可以被采集。处理系统控制器可以将被访问虚拟模块(VVM)数据、或不被访问虚拟模块(NVVM)数据或其组合发送到主机系统。另外,VVM数据和/或NVVM数据可以存储在与处理系统和/或主机系统相关联的数据库中。
流程1000可以在任务1050中终止。
当过程序列中的被访问虚拟模块(VVM)对象被执行时,处理系统控制器可以执行VVM控制策略。VVM控制策略可包括一个或多个被访问虚拟模块(VVM)控制计划。VVM控制策略选择和发起可以是基于环境的。当执行VVM控制策略时,可以在物理模块中处理晶片。
另外,处理系统控制器可以执行被访问虚拟模块(VVM)数据采集(DC)策略,VVM数据采集(DC)策略包括至少一个被访问虚拟模块(VVM)数据采集(DC)计划。VVM DC策略选择和发起也可以是基于环境的。当执行VVM DC策略时,可以对正在物理模块中处理的晶片采集VVM数据。
此外,当执行过程序列中的被访问虚拟模块(VVM)对象时,处理系统控制器可以执行VVM分析策略。VVM分析策略可包括被访问虚拟模块(VVM)分析计划、或被访问虚拟模块(VVM)判断计划或其组合。当执行VVM分析策略时,可以分析晶片数据、过程数据和/或模块数据,并且可以识别故障状况。
在一个实施例中,处理系统控制器可以为过程序列中的每个VVM对象确定过程方案。或者,过程方案可以由主机系统确定、发送和/或验证。
当过程序列中的虚拟模块与对物理模块的不访问相关联时,处理系统控制器还可以执行不被访问虚拟模块(NVVM)控制策略。不被访问虚拟模块(NVVM)控制策略可包括一个或多个不被访问虚拟模块(NVVM)控制计划。还可以执行不被访问虚拟模块(NVVM)数据采集(DC)策略,NVVM数据采集(DC)策略可包括用于采集NVVM数据的一个或多个不被访问虚拟模块(NVVM)数据采集(DC)计划。
当执行NVVM控制策略时,不在物理模块中处理晶片。当执行NVVM DC策略时,可以对晶片采集NVVM数据。
在一个实施例中,处理系统控制器可用于确定过程序列中的每个NVVM对象的“空”方案。例如,“空”方案可用于将晶片移入物理模块中作为“保持”类型的操作。或者,“空”方案可用于将晶片移到临时存放点,例如转移模块、加载模块、存放模块、加热模块或冷却模块。
在一个实施例中,处理系统控制器可以接收过程序列中一个或多个虚拟模块对象的过程方案。例如,主机系统可以要求物理模块执行特定过程方案。另外,主机系统可以要求用于“被采样的”晶片的特定的一组过程步骤。例如,晶片可被发送到外部测量模块。
处理模块可包括刻蚀模块、沉积模块、化学氧化物去除(COR)模块、加热模块、转移模块、冷却模块、显影模块或其两者或更多者的组合。测量模块可包括光学测量模块、光学数字仿形(ODP)模块、SEM模块、或TEM模块,或其两者或更多者的组合。
当半导体处理系统包括主机系统和一个或多个处理系统时,主机系统和处理系统可以一起工作以控制和/或监视处理操作。处理系统与主机系统相协作可以创建包括过程序列的动态虚拟模块计划(DVMP)。处理系统控制器可以将DVMP发送到主机系统,并且主机系统可以执行DVMP。在一个实施例中,过程序列可包括虚拟模块(VM)对象的序列。
图11示出了根据本发明另一个实施例的操作处理系统控制器的方法的流程图。在图示实施例中,示出了基于晶片的流程1100,但是这并不是本发明所必需的,因为该流程可以是基于批次的或基于批量的。流程1100开始于任务1110,其中例如开始事件可以是晶片进入事件、批次开始事件、或批量开始事件或来自另一过程的结束事件。
在任务1120中,主机控制器从处理系统接收动态虚拟模块计划(DVMP)。DVMP包括晶片的期望过程结果、晶片可用来实现期望过程结果的经过物理模块的实际趟数(Nact)、晶片可用来实现期望过程结果的经过物理模块的最大趟数(Nmax)、过程序列和晶片的过程序列长度(N)。过程序列长度(N)可以等于经过物理模块的最大趟数(Nmax)。过程序列可以具有N个虚拟模块对象。过程序列可包括用于与经过物理模块的实际通过相关联的过程序列中的每个虚拟模块对象的被访问虚拟模块(VVM)对象,并且可包括用于与对物理模块的不访问相关联的过程序列中的每个虚拟模块对象的不被访问虚拟模块(NVVM)对象。
在接收到DVMP后,主机控制器在任务1130中执行DVMP。在任务1140中,流程1100可以结束。
在一个实施例中,当处理系统执行过程序列并且被访问虚拟模块(VVM)对象被执行时,主机控制器可以接收VVM数据,并且当处理系统执行过程序列并且不被访问虚拟模块(NVVM)对象被执行时,主机控制器可以接收NVVM数据。
主机控制器可以确定和/或验证过程序列中至少一个被访问虚拟模块(VVM)对象的过程方案,并且可以将过程序列中一个或多个被访问虚拟模块(VVM)对象的过程方案发送到数据库。
主机控制器还可以确定和/或验证过程序列中至少一个不被访问虚拟模块(NVVM)对象的过程方案,并且可以将过程序列中用于一个或多个不被访问虚拟模块(NVVM)对象的过程方案发送到数据库。
在一个实施例中,对于晶片经过物理模块的每次实际通过可以建立被访问虚拟模块(VVM)数据对象,而对于对与晶片相关联的物理模块的每次不访问(Nnon)可以建立不被访问虚拟模块(NVVM)数据对象。
当半导体处理系统包括主机系统和一个或多个处理系统时,主机系统可以工作为主系统,并且可以控制和/或监视处理操作的主要部分。主机系统可以创建包括过程序列的静态虚拟模块计划(SVMP),并且可以将SVMP发送到处理系统。在一个实施例中,过程序列可包括物理模块(PM)和虚拟模块(VM)对象的序列。
SVMP和/或DVMP可以是依晶片而定,即某些晶片将需要对物理模块进行多次访问,某些其他晶片将需要对物理模块进行单次访问;而还有某些晶片将不需要访问物理模块。
图12图示了根据本发明实施例的虚拟模块(VM)控制策略屏幕的示例性示图。VM控制策略屏幕可包括多个配置项。策略名(StrategyName)字段可用于输入/编辑VM控制策略名。描述(Description)字段可用于输入/编辑VM控制策略描述。模式(mode)字段可用于输入/编辑VM控制策略的模式。例如,这些模式可包括标准模式和仿真模式。使能(Enabled)栏可用于启用或禁用VM控制策略。
加载端口(Load Port)字段可用于从处理工具获得加载端口信息的列表。加载端口更新按钮(Load Port Update Button)可用作刷新功能,并且可用于从处理工具获得当前的加载端口信息。
系统方案(System Recipe)字段可用于从处理工具获得系统方案的列表。系统方案更新按钮(System Recipe Update Button)可用作刷新功能,并且可用于从处理工具获得当前的方案信息。例如,系统方案名可用于通过匹配诸如系统方案名之类的一个或多个环境项来触发VM控制策略。
转移行程(Transfer Route)字段可用于从处理工具获得用于选定的加载端口和系统方案的转移行程。转移行程更新按钮(Transfer Route UpdateButton)可用作刷新功能,并且可用于从处理工具获得当前的方案信息。
转移行程字段可用于从处理工具获得用于选定的加载端口和系统方案的转移行程。例如,转移行程可用于确定晶片何时被转移到集成度量模块(IMM)中,以及晶片何时在过程模块(PMxx)中进行处理。描述字段可用于提供VM模型的描述。
转移行程可以表明晶片到IM模块以进行过程前测量和过程后测量。在VM过程期间,可以使用一个或多个集成度量模块(IMM)。在图示实施例中,转移行程示出了六步过程(IMM1-PM01-IMM1-PM02-LLM2-IMM1),但是这并不是本发明所必需的。在替换实施例中,可以使用不同的转移行程,并且可以使用不同的模块。或者,可以示出虚拟模块名。
度量数据故障(Metrology Data Failure)字段可用于从下面的选项中输入/编辑度量数据故障动作使用工具过程方案(名义方案(NominalRecipe))——软件向过程工具发送指示,并且过程工具使用工具过程方案。不使用过程方案(“空”方案)——软件向过程工具发送与晶片相关联的“空”方案信息,并且晶片在不经处理的情况下进/出室。PM暂停——暂停过程模块,以及系统暂停——暂停包括转移系统在内的系统。
控制故障(Control Failure)字段可用于从下面的选项中输入/编辑控制故障选项使用工具过程方案(名义方案)——软件向过程工具发送指示,并且过程工具使用工具过程方案。不使用过程方案(“空”方案)——软件向过程工具发送与晶片相关联的“空”方案信息,并且晶片在不经处理的情况下进/出室。PM暂停——暂停过程模块,以及系统暂停——暂停包括转移系统在内的系统。
工具级别和/或系统级别控制器可以检测控制故障。如果发生控制故障,则系统可被配置为使用工具过程方案(名义方案)、使用“空”方案、或停止VM过程、或暂停过程模块、或暂停整个系统。
另外,多个使用环境规范(Usage Context Specification)字段可用于当需要额外环境项时提供额外的环境匹配项。LotID(s)字段可用于输入/编辑批次标识符;Wafer ID(s)字段可用于输入/编辑晶片标识符;CJID(s)字段可用于输入/编辑控制任务标识符;PJID(s)字段可用于输入/编辑过程任务标识符;Cassette ID(s)字段可用于输入/编辑盒标识符;Carrier ID(s)字段可用于输入/编辑载体标识符;Slot(s)字段可用于输入/编辑槽号;SubstrateID(s)字段可用于输入/编辑衬底标识符;Wafer Type(s)字段可用于输入/编辑晶片类型;Scribed Wafer ID(s)字段可用于输入/编辑被划片的晶片标识符;一个Start Time字段可用于输入/编辑开始时间;第二个Start Time字段可用于输入/编辑结束时间。
如图12所示,VM控制策略可包括一个或多个VM控制计划。利用控制策略屏幕,用户可以执行VM控制策略配置,查看现有的VM控制策略,创建新的VM控制策略,拷贝现有的VM控制策略,编辑现有的VM控制策略,删除现有的VM控制策略,以及测试VM控制策略。例如,下拉式列表可用于选择动作过程。
图13图示了根据本发明实施例的控制计划编辑器屏幕的示例性示图。在图13中,示出了用于管理可包括过程模块(PM01)的虚拟模块的控制计划的VM控制计划屏幕。或者,可以使用其他模块,例如其他的过程模块(PMxx)和测量模块(IMyy)。
为了创建VM控制计划,用户可以选择计划名项目并选择新的控制计划或现有计划或模型。例如,在VM控制策略屏幕上,可以出现下拉式菜单,并且可以选择添加计划(Add Plan)选择。
VM控制计划屏幕可包括多个字段。计划名(Plan Name)字段可用于输入/编辑VM控制计划名。模块(Module)字段可用于输入/编辑模块名。例如,如果计划与策略相关联,则模块字段可被自动填充。如果计划是不相关联的,则模块字段可用于选择过程模块或测量模块。方案(Recipe)字段可用于输入/编辑方案。例如,如果计划与策略相关联,则方案字段可被自动填充。如果计划是不相关联的,则该字段可用于选择用于过程模块的过程方案或用于测量模块的测量方案。
描述(Description)字段可用于输入/编辑计划的描述。最新(Updated)字段显示计划被改变的最后时间。
数据源(Data Source)表可用于输入/编辑数据源。例如,可以打开VM计划数据源屏幕。数据源表可包括源类型、数据源描述和数据源参数/值。例如,选定的源类型确定在数据源屏幕上显示的选项;“TeliusODP”型可用于定义作为处理工具的一部分的集成度量模块数据源;“期望输出(Desired Output)”型允许用户输入控制器的固定单位;“反馈偏移(Feedback Offest)”型允许用户定义持久反馈变量;“控制计划值(Control Plan Value)”允许用户创建参考不同控制计划的结果的变量(创建嵌套计划);“集成度量地点过滤(Integrated Metrology SiteFiltering)”型在每个数据源被选择时创建具有每个选项的描述的表;“环境项(ContextItem)”型允许用户创建参考环境项的变量,例如Slotjd、WafeMd或晶片号。
可以从符号(Symbol)下拉式列表中选择符号,并且可以从数据源类型(Data Source Type)下拉式菜单中选择源类型。例如,数据源信息字段可以依赖于所选的数据源而不同。
示出了一个输入数据源(d1),但是这不是必需的。可以使用不同数目的输入数据源,并且每个输入数据源可以具有不同的符号值。例如,一个数据源可以是ODP工具,并且其可以是处理工具的一部分,例如Telius。另外,另一个数据源可以是SEM,并且参数/值可以是实际测量的数据,例如CD-SEM数据。
通常,过程控制可包括在晶片到达过程模块之前利用对晶片测得的度量信息来更新过程模块方案。控制器可以使用处理前数据来确定需要对各种物理模块进行多少次访问。期望过程结果可以是模型方程中的“y”值。任务是确定何时期望过程结果“y”是正确值。
在VM控制计划屏幕上的目标计算字段中,可以输入目标计算。例如,目标计算可被设为等于数据源项。或者,可以输入将一组数据与另一组数据相关的等式。另外,目标计算可包括额外的补偿项。例如,额外的补偿因子可用于校正在另一步(例如栅极叠层刻蚀步骤)中引入的误差。新的目标值可以是在运行时或者在运行时之前计算的变量,并且方程可用于计算目标值。
另外,可以使用新的下限值和上限值,并且这些值可以在下限字段和上限字段中输入。例如,新的下限值和上限值可以是在运行时或者在运行时之前计算的常数或变量,并且方程可用于计算新的下限值和上限值。
模型选择(Model Selection)字段可用于输入/编辑静态模型和/或公式模型(formula model)。例如,在模型类型选择项下,表中的选择项可用于输入和/或编辑模型类型。可以从表项中激活下拉式列表,并且可以从下拉式列表中进行选择。下拉式列表中的一个选项允许创建新的模型;其他选项可用于显示并选择要使用或修改的现有模型。每个模型类型可以具有与其相关联的模块名、目标值、下限、上限和方案输出。当创建新的模型时,可以使用新的模型类型并输入在模型类型字段中,并且可以使用新的模型名并输入在模型名字段中。
预测结果计算(Predicted Result Calculation)字段可用于输入新的预测结果值或选择现有的预测结果值。预测结果值可以是期望结果的等式。例如,当输入名称、目标计算和模型选择信息时,可以保存控制计划。
#字段包括模型列表中的多个模型。模型类型允许选择静态或公式模型。模型名字段列出了可用模型的名称。例如,为了创建新的模型,可以从下拉式列表中选择“新静态方案(New Static Recipe)”选项或“新公式方案(New Formula Recipe)”选项。可以创建包括一个或多个静态方案的静态控制计划。例如,可以示出十个或更多个静态模型。这些静态模型被示为具有相同的目标值(t1),但是这并不是必需的。可以使用不同数目的静态和/或公式模型,并且每个模型可以具有不同的目标值。当使用每个静态方案时,可以计算新的目标值。如图13所示,静态方案模型可以具有由下限值和上限值限定的不同工作范围。另外,静态方案模型可以具有不同的静态方案输出,并且可以对每个静态方案确定不同的静态方案输出。
虚拟模块控制计划可包括静态模型方案、或公式模型方案或其组合。控制器可以自动生成虚拟模块的控制计划。过程方案可包括一个或多个过程,每个过程包括一个或多个处理步骤。过程方案可以在单个室或多个室中执行。过程方案可以利用名义方案、静态方案或公式模型中的至少一个来配置。
静态方案可以是用于实现特定过程结果的单一一组方案调节。一组静态方案可用于设置基于表的控制器,或者静态方案可以与公式模型一起使用来处理应当使用相同方案的期望输出的范围。当使用利用静态方案的反馈时,对于使用的每个静态方案,可以在控制计划中指定单个预测过程结果。公式模型可包括模型前调节、模型方程、一系列模型后调节和方案参数分配映射。模型前调节可以允许将期望过程结果(通常是t1)重新表达为用在模型方程中的正确单位(导致y值),并且模型方程可以是计算作为一个操作变量(x)的函数的预测过程结果的表达式。当执行模型时,在给定重新表达后的期望过程结果(y)的情况下,将会解出x。一旦确定了x,就可以计算模型后调节,并且它们的值将会被分配给在方案参数映射中指定的适当的方案参数。
另外,可以提供一个或多个过程模型。过程模型可用于定义过程空间。过程模型代表期望结果(输出)和实现这些结果所需的接收变量之间的验证关系。过程模型可包括方程,方程可包括基于公式的模型。基于公式的模型可包括这样的方程,这些方程包含基于某些估计的实验数据的方案变量与期望结果的分段关联。过程模型可以是线性的或非线性的。过程模型可用于验证新的过程方案并更新现有的过程方案。
尽管上面只详细描述了本发明的某些实施例,但是本领域技术人员将容易地意识到,可以在实施例中进行许多修改,而实质上不脱离本发明的新颖教导和优点。因此,所有这些修改都应当包括在本发明的范围内。
权利要求
1.一种在包括主机系统和处理系统的半导体处理系统中操作处理系统控制器的方法,所述方法包括接收静态虚拟模块计划,所述静态虚拟模块计划包括至少一个晶片的期望过程结果和至少一个晶片的过程序列,所述过程序列包括多个虚拟模块对象,其中所述多个虚拟模块对象包括与晶片对物理模块的访问相关联的被访问虚拟模块对象和/或与晶片对物理模块的不访问相关联的不被访问虚拟模块对象;执行所述过程序列;当所述过程序列中的被访问虚拟模块对象被执行时,采集被访问虚拟模块数据;以及当所述过程序列中的不被访问虚拟模块对象被执行时,采集不被访问虚拟模块数据。
2.如权利要求1所述的方法,还包括将所述被访问虚拟模块数据和所述不被访问虚拟模块数据发送到所述主机系统。
3.如权利要求1所述的方法,还包括当所述过程序列中的被访问虚拟模块对象被执行时,执行被访问虚拟模块控制策略,其中所述被访问虚拟模块控制策略包括一个或多个被访问虚拟模块控制计划;以及执行被访问虚拟模块数据采集策略,其中所述被访问虚拟模块数据采集策略包括至少一个被访问虚拟模块数据采集计划。
4.如权利要求3所述的方法,还包括当所述过程序列中的被访问虚拟模块对象被执行时,执行被访问虚拟模块分析策略,其中所述被访问虚拟模块分析策略包括被访问虚拟模块分析计划和/或被访问虚拟模块判断计划。
5.如权利要求3所述的方法,还包括确定所述过程序列中的每个被访问虚拟模块对象的过程方案;以及确定所述过程序列中的每个不被访问虚拟模块对象的过程方案。
6.如权利要求1所述的方法,还包括当所述过程序列中的不被访问虚拟模块对象被执行时,执行不被访问虚拟模块控制策略,其中所述不被访问虚拟模块控制策略包括一个或多个不被访问虚拟模块控制计划;以及执行不被访问虚拟模块数据采集策略,其中所述不被访问虚拟模块数据采集策略包括用于采集虚拟模块不访问数据的至少一个不被访问虚拟模块数据采集计划。
7.如权利要求1所述的方法,还包括接收所述过程序列中的每个被访问虚拟模块对象的过程方案;以及接收所述过程序列中的每个不被访问虚拟模块对象的过程方案。
8.如权利要求1所述的方法,其中,所述期望过程结果包括修饰量、刻蚀量和沉积量中的至少一个。
9.如权利要求1所述的方法,其中,所述物理模块包括处理模块、测量模块、转移模块和/或保持模块。
10.如权利要求9所述的方法,其中,所述处理模块包括刻蚀模块、沉积模块、化学氧化物去除模块、加热模块、转移模块、冷却模块和/或显影模块。
11.如权利要求9所述的方法,其中,所述测量模块包括光学发射谱模块、扫描电子显微镜模块、光学数字仿形模块和/或透射电子显微术模块。
12.一种在包括主机系统和处理系统的半导体处理系统中操作主机控制器的方法,所述方法包括创建静态虚拟模块计划,所述静态虚拟模块计划包括至少一个晶片的期望过程结果和至少一个晶片的过程序列,所述过程序列包括多个虚拟模块对象,所述多个虚拟模块对象包括与晶片对物理模块的访问相关联的被访问虚拟模块对象和/或与晶片对物理模块的不访问相关联的不被访问虚拟模块对象;以及将所述静态虚拟模块计划发送到所述处理系统。
13.如权利要求12所述的方法,还包括确定晶片的期望过程结果;确定所述晶片用来实现所述期望过程结果的对一个或多个物理模块的实际访问次数;针对每次访问建立被访问虚拟模块对象;确定所述晶片用来实现所述期望过程结果的对物理模块的不访问次数;针对每次不访问建立不被访问虚拟模块对象;以及确定所述晶片的过程序列长度,其中所述过程序列长度等于访问次数与不访问次数之和。
14.如权利要求12所述的方法,还包括确定所述晶片可用来实现所述期望过程结果的对一个或多个物理模块的最大访问次数,其中所述最大访问次数是等于或大于0的整数;以及确定所述晶片的过程序列长度,其中所述过程序列长度等于所述最大访问次数。
15.如权利要求14所述的方法,还包括确定所述晶片用来实现所述期望过程结果的对每个物理模块的访问次数;针对每次访问建立被访问虚拟模块对象;确定所述晶片用来实现所述期望过程结果的对物理模块的不访问次数,其中所述不访问次数是等于或大于0的整数;以及针对每次不访问建立不被访问虚拟模块对象。
16.如权利要求12所述的方法,还包括当所述处理系统执行所述过程序列并且被访问虚拟模块对象被执行时,接收被访问虚拟模块数据;以及当所述处理系统执行所述过程序列并且不被访问虚拟模块对象被执行时,接收不被访问虚拟模块数据。
17.如权利要求12所述的方法,还包括确定所述过程序列中每个被访问虚拟模块对象的过程方案;以及将所述过程序列中每个被访问虚拟模块对象的过程方案发送到所述处理系统。
18.如权利要求12所述的方法,还包括确定所述过程序列中每个不被访问虚拟模块对象的过程方案;以及将所述过程序列中每个不被访问虚拟模块对象的过程方案发送到所述处理系统。
19.如权利要求12所述的方法,其中,所述物理模块包括处理模块、测量模块、转移模块和/或保持模块。
20.一种在包括主机系统和处理系统的半导体处理系统中操作处理系统控制器的方法,所述方法包括从所述主机系统接收至少一个晶片的期望过程结果;创建动态虚拟模块计划,所述动态虚拟模块计划包括至少一个晶片的过程序列,其中所述过程序列被创建以实现所述至少一个晶片的期望过程结果,所述过程序列包括多个虚拟模块对象,其中所述虚拟模块对象包括与所述至少一个晶片对物理模块的访问相关联的被访问虚拟模块对象和/或与所述至少一个晶片对物理模块的不访问相关联的不被访问虚拟模块对象;以及将所述动态虚拟模块计划发送到所述主机系统。
21.如权利要求20所述的方法,还包括执行所述过程序列;当被访问虚拟模块对象被执行时,采集被访问虚拟模块数据;以及当不被访问虚拟模块对象被执行时,采集不被访问虚拟模块数据。
22.如权利要求21所述的方法,还包括发送所述被访问虚拟模块数据和所述不被访问虚拟模块数据中的至少一个。
23.如权利要求21所述的方法,还包括当被访问虚拟模块对象被执行时,执行被访问虚拟模块控制策略,其中所述被访问虚拟模块控制策略包括一个或多个被访问虚拟模块控制计划;以及执行被访问虚拟模块数据采集策略,其中所述被访问虚拟模块数据采集策略包括至少一个被访问虚拟模块数据采集计划。
24.如权利要求23所述的方法,还包括当被访问虚拟模块对象被执行时,执行被访问虚拟模块分析策略,其中所述被访问虚拟模块分析策略包括被访问虚拟模块分析计划和被访问虚拟模块判断计划中的至少一个。
25.如权利要求23所述的方法,还包括确定每个被访问虚拟模块对象的过程方案;以及将每个被访问虚拟模块对象的过程方案发送到所述主机系统。
26.如权利要求21所述的方法,还包括当不被访问虚拟模块对象被执行时,执行不被访问虚拟模块控制策略,其中所述不被访问虚拟模块控制策略包括一个或多个不被访问虚拟模块控制计划;以及执行不被访问虚拟模块数据采集策略,其中所述不被访问虚拟模块数据采集策略包括用于采集虚拟模块不访问数据的至少一个不被访问虚拟模块数据采集计划。
27.如权利要求21所述的方法,还包括确定每个不被访问虚拟模块对象的过程方案;以及将每个不被访问虚拟模块对象的过程方案发送到所述主机系统。
28.如权利要求21所述的方法,还包括接收所述过程序列中的被访问虚拟模块对象的过程方案;修改所述被访问虚拟模块对象的过程方案;以及将修改后的过程方案发送到所述主机系统。
29.如权利要求21所述的方法,还包括接收所述过程序列中的不被访问虚拟模块对象的过程方案;修改所述不被访问虚拟模块对象的过程方案;以及将修改后的过程方案发送到所述主机系统。
30.如权利要求20所述的方法,其中,所述物理模块包括处理模块、测量模块、转移模块和保持模块中的至少一种。
31.一种在包括主机系统和处理系统的半导体处理系统中操作主机控制器的方法,所述方法包括从所述处理系统接收动态虚拟模块计划,所述动态虚拟模块计划包括至少一个晶片的过程序列,其中所述过程序列被创建以实现所述至少一个晶片的期望过程结果,所述过程序列包括多个与所述至少一个晶片对物理模块的访问相关联的虚拟模块对象和/或与所述至少一个晶片对物理模块的不访问相关联的不被访问虚拟模块对象;以及执行所述动态虚拟模块计划。
32.如权利要求3 1所述的方法,还包括当所述处理系统执行所述过程序列并且被访问虚拟模块对象被执行时,接收被访问虚拟模块数据;以及当不被访问虚拟模块对象被执行时,接收不被访问虚拟模块数据。
33.如权利要求31所述的方法,还包括从所述处理系统接收所述过程序列中至少一个被访问虚拟模块对象的过程方案;以及将所述至少一个被访问虚拟模块对象的过程方案发送到数据库。
34.如权利要求31所述的方法,还包括从所述处理系统接收所述过程序列中至少一个不被访问虚拟模块对象的过程方案;以及将所述至少一个不被访问虚拟模块对象的过程方案发送到数据库。
35.如权利要求31所述的方法,还包括从所述处理系统接收所述过程序列中至少一个被访问虚拟模块对象的过程方案;修改所述至少一个被访问虚拟模块对象的过程方案;以及将修改后的过程方案发送到所述处理系统。
36.如权利要求31所述的方法,还包括从所述处理系统接收所述过程序列中至少一个不被访问虚拟模块对象的过程方案;修改所述至少一个不被访问虚拟模块对象的过程方案;以及将修改后的过程方案发送到所述处理系统。
全文摘要
本发明涉及半导体处理系统的控制。另外,本发明涉及run-to-run(运行到运行)控制器,其用于创建虚拟模块以在半导体晶片处理期间控制由多室工具执行的多趟过程。
文档编号H01L21/00GK101031851SQ200580027646
公开日2007年9月5日 申请日期2005年6月30日 优先权日2004年8月27日
发明者麦里特·法克, 韦斯利·纳特勒 申请人:东京毅力科创株式会社, 国际商业机器公司
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1