一种基于精准环路滤波的并行定时同步方法与流程

文档序号:15296711发布日期:2018-08-31 19:27阅读:283来源:国知局
本发明属于数字通信
技术领域
,特别涉及一种基于精准环路滤波的并行定时同步方法。
背景技术
:在数字通信系统中,因接发两端端时钟相互独立,故接收机无法在每个符号周期中的最佳采样时刻完成采样,从而无法直接得到正确符号。要实现信号正确传输,则要求接收机获取信号与发射机传送信号频率和相位相同。因此,接收机需完成同步过程。同步包括定时同步和载波同步。根据奈奎斯特采样定理可知:在数字接收机中,信号高速模数转换后的过采样数据,定时同步处理运行速率至少是符号速率的2倍。在数字接收机中,定时同步主要有三种方法:第一种方法是模拟定时同步。主要思想为:以本地时钟为参照,从模拟信号中得到当前采样时刻和最佳采样时刻之间的偏差,并根据偏差幅度与极性信息,调整本地时钟频率和相位,从而得到最佳采样时刻采样值。第二种方法是数模混合定时同步。主要思想为:根据从模数转换处理后采样的数据中,得到定时误差信息,调整本地时钟得到最佳采样时刻采样值。该方法采用传统锁相环技术,利用反馈调整本地模数转换时钟频率和相位,实现定时同步,其原理较简单,且可靠性较好。第三种方法是数字定时同步。主要思想为:在接收端,采样时钟固定,从模数转换信号采样数据中,得到当前采样时刻和最佳采样时刻之间的偏差,由插值滤波器来实现符号同步。前两种方法的优点是:在相位和频率上,接收端时钟与发送端是一致的。缺点是:反馈会造成信号模数转换(analog-to-digital,ad)过程中的采样失踪,且在结构上都包含会产生更大噪声模拟电路压控振荡器。第三种方法的优点是:信号处理过程全部在数字域完成,有利于系统集成,且以较低过程复杂度,实现较好的跟踪性能,目前应用较为广泛。其中,最典型方案为gardner定时同步算法。目前,因数字通信对信息传输速率要求越来越高,及硬件设备属性限制,并行定时同步技术逐渐受到重视。在一个时钟周期内,采用并行处理多路输入数据的方式,可保证电路运行时钟频率不变情况下,有效提高处理数据的能力。在具体硬件实现时,串行和并行定时同步两种算法有较大不同:串行算法可先扣除或添加高倍时钟周期后,分频恢复符号时钟;但其不可在并行算法中使用,若扣除某个时钟周期,则会丢失比特数,严重影响系统同步性能。其中,并行定时同步技术又分为时域与频域两个方向。时域并行定时同步方法:在时域上,结合gardner定时同步算法,可设计出相应的并行结构,且结构实现简单。在高速通信系统中,该方法可取得较好的同步性能。主要是在插值滤波器前,用移位寄存器完成扣除脉冲的时序调整操作。但其设计结构具有局限性,移位寄存器完成添加脉冲操作,其数据深度加1。因寄存器实际深度有限,故当长时间连续通信时,导致数据溢出,从而使得数据丢失产生错误。因此,该结构检测定时误差时,对采样速率与符号速率关系有较高要求。同样,可采用部分并行的gardner定时同步结构,已实现了1.2gbps的高速通信。频域并行定时同步方法:在频域中,定时误差在频域表现为相位偏差,将采样数据经过离散傅里叶变换(discretefouriertransform,dft)到频域,然后在频域上,完成相位误差补偿,之后经过离散傅里叶变换(inversediscretefouriertransform,idft)到时域,完成定时同步过程。其中,频域定时同步过程可采用两个定时恢复环路来完成:l)符号频率跟踪环路,产生与发送端在频率上相同但有相位误差的时钟,即在频率上实现符号的高精度跟踪;2)相位误差校正环路,用于获得最佳采样时刻的数据,即在相位上实现符号的精确跟踪。根据傅里叶变换可知,时域上采样延迟在频域上,表现为相位旋转,通过dft变换将ad采样数据的相位误差转换为频域上的相位旋转,然后在频域上对数据完成旋转补偿,再经过idft变换到时域,用o&m等误差算法检测补偿后数据相位误差信息,然后反馈给前面的相位校正模块。还有,就是可在模数转换器(analog-to-digitalconverter,adc)和定时同步中间用并行fifo存储器(firstinputfirstoutput,fifo)完成扣除脉冲操作和添加脉冲操作,实现符号频率的跟踪。但频域定时同步方法在实现过程需将数据在频域和时域之间变换,用到大量乘法器,实现过程较复杂。技术实现要素:本发明的目的是针对现有符号定时同步方法不能同时具有低复杂度、高误码性能及快速同步的缺陷与不足,提供一种同步速度较快、性能较好的符号定时快同步方法——基于精准环路滤波的并行定时同步方法,以便广泛应用于适合低信噪比传输的地面无线应急通信、卫星与电力线通信等数字通信领域。本发明采取如下技术方案:一种基于精准环路滤波的并行定时同步方法,其依次采用如下步骤实现:一,并行处理定时同步插值步骤;二,并行处理定时误差检测步骤;三,并行处理环路滤波步骤;四,并行处理插值控制步骤。本发明基于精准环路滤波的并行定时同步方法具体包括:接收的模拟信号经模拟/数字转换,变为数字信号;利用已有方法(此方法可借鉴“王忠俊,李之平,胡赛桂等.tds-ofdm系统中pn序列的设计方法及检测前信号的捕获方法[p].中国专利:cn102065051a,2011-05-18.”)通过pn序列填充保护间隔,形成ofdm帧信号;将信号分配至多条串行通路,增加并行路数;并行输入信号经过插值过程,基本采样点数为偶数时,采用范得蒙行列式求解插值系数,进而算出内插基点mk与分数间隔uk,确定内插点位置m;内插点的采样值及相邻的两个采样值,由数据滤波后输出的同向与正交分量,经加减乘计算,算出定时误差信号;由定时环路带宽及环路参数更新时间来确定环路滤波系数(直通路与反馈路系数),并采用积分滤波方案,经z域与s域变换,求得环路滤波过程递归方程,更新相位步长值,并减小噪声对定时误差信号的影响,让定时误差更加平滑,便于nco计算确定新的内插基点与分数间隔;不断循环反馈调节,判决内插点所在的最佳位置信息,再经定时误差检测,可得每路定时误差;对每路定时误差求和,得平均定时误差,进而可得信号在最佳采样时刻的近似,实现符号定时同步。优选的,步骤一,并行处理定时同步插值方法采用以下步骤实现:步骤1.1设外界输入的在时间与数值上均连续的模拟信号作为接收信号,设为y(t);将y(t)以较小固定时间间隔划分,并将其不同时刻对应幅度值量化为不同整数值,设经量化后形成在时间上连续、在数值上离散的数字信号序列为y(n),且对其做ofdm调制;由反馈移位寄存器产生pn序列,并将其代替循环前缀,作为ofdm信号的保护间隔,形成ofdm帧信号y1(n),且y(n)与y1(n)同表示数字信号;步骤1.2将步骤1.1中的帧信号y1(n)分配至n1条并行路,n1为自然数,且大于等于2;以不同的固定间隔对y1(n)与信道分别采样,并经以下步骤1.2.1和1.2.2,完成插值过程,经环路滤波过程后,输出内插点附近的采样点值y(k),y(k-1/2)和y(k-1)。其中,k表示符号数,y(k)与y(k-1)分别为第k个和第(k-1)个符号采样点值,y(k-1/2)为第k个采样点值和第(k-1)个采样点值之间的样值;步骤1.2.1在发送端,设其采样周期为ts,且ts为实数;信道以固定间隔ts采样,且采样过程满足奈奎斯特定律,即其采样率大于信号最高频率的2倍;在第m个采样时刻mts,可得信道样值序列x(mts),且m为整数;信道样值x(mts)经由模拟/数字混合速率转换处理,将其转换成加权模拟脉冲序列,序列经连续模拟插值处理过程,输出为:y(n)=∑mx(mts)hi(n-mts)(1)其中,“∑”表示累加,且针对所有的m对应的x(mts)hi(n-mts)乘积项累加;hi(n)为理想的插值滤波冲激响应,表达式为:hi(n)=sinc(n/ts)=sin(πn/ts)/πn/ts(2)其中,sinc是理想插值滤波函数,但因其抽头系数是无限长、非因果,故需将无限个采样值加权求和,无法物理实现。因此,在实际插值中,所用滤波器是非理想的。而且,hi(n)的频率响应为理想矩形窗,其计算式为:步骤1.2.2将y1(n)分为两路数字信号yi(n)和yq(n),且其分别表示同向与正交分量,并在第k个采样时刻,y1(n)以间隔ti采样,得y1(kti),且k为自然数,在此刻表示为第k个采样间隔。由式(1)可得y1(kti)表达式:y1(kti)=∑mx(mts)hi(kti-mts)(4)其中,ti为实数,并与本地接收机符号最佳判决间隔时间t同步,且t为实数,表示信号码元周期;由ti实现两路信号的判决,且ti作为插值输出后的再采样间隔,非固定不变,自动随数控振荡器(numericallycontrolledoscillator,nco)控制输出调整,保持与符号最佳判决时刻同步。定义插值滤波索引式为:i=int(kti/ts)-m(5)其中,int(z)表示不超过z的最大整数。定义一个输入采样基本指针mk和一个分数间隔uk分别为:mk=int(kti/ts)(6)uk=kti/ts-m(7)其中,uk为实数,0≤uk≤1,表示定时内插误差;由式(5)~(7)则可得:m=mk-i(8)kti-mts=(i+uk)ts(9)由式(8)~(9)推导可得,再采样点的间隔与输入采样点的间隔关系为:kti=(mk+uk)ts(10)由式(2)~(10)推导可知,数字插值基本方程表示为:采用拉格朗日插值算法,将离散样点转换为连续时域波形,其对应n点多项式为:其中:且“∏”表示累积,针对所有的i对应的乘积项的累积,ci为累积结果,即插值滤波系数;i,j,i1,i2均为自然数,且-2≤i,j1≤1;设i1=-n/2,i2=n/2-1;并将t归一化为t=(i+uk)ts,则由式(11)~(13)可得:ci(uk)=hi[(i+uk)ts](14)当n为偶数时,插值系数ci可由范得蒙行列式求得,其计算式如下:其中,λ表示(-n1)γ,且γ为整数,1<γ<n。对等式(15)两边变形,即对范得蒙行列式求逆,则可得相应插值滤波系数矩阵为:当n为奇数时,约束条件如下:1)系统响应具有线性相位特性;2)在样点处没有插值误差;3)直流分量与uk无关。由式(12)~(14)可得基本样点数为4的三次多项式,其系数为:其中,λ可取0.5等0~1之间的实数,表示滚降系数。步骤1.3由步骤1.2.2所定义的内插基点位置mk与分数间隔uk,可确定内插点m0,且m0为实数;经间隔ti重采样插值,且重采样技术已在
背景技术
说明,得样值y1(kti);最后,由步骤1.2所述,插值完成后,确定新的内插基点位置mk与分数间隔uk,可算出内插点m0,且m0为实数;经间隔ti重采样,且重采样技术已在
背景技术
说明,得样值y1(kti);之后,经数据滤波后,输出两个内插点附近的采样点值:y(k)与y(k-1/2)。其中,k表示符号数,y(k)与y(k-1)分别为第k个和第(k-1)个符号采样点值,y(k-1/2)为第k个和第(k-1)个采样点值中间位置处的采样点值。其中,数据滤波过程为:设m表示提前采样周期数,且m为整数,范围为(0,3);设置六个输入数据为:in1、in2、in3、in4、in5与in6;及两个输出端口为:y(k)与y(k-1/2);由m值,调整模转换开关动态延时输出,即不同m值对应选择两个不同端口作为输入。其工作过程如表1所示。表1.不同m值对应的传输过程m0123y(k)in4in3in6in5y(k-1/2)in2in1in4in3优选的,步骤二,并行处理定时误差检测方法采用以下步骤实现:步骤2.1将步骤1.3所述的输出样值y(k),y(k-1/2)及y(k-1),生成各自的两路同向与正交分量;由误差检测算法,完成定时误差检测,其表达式为:e(k)=yi(k-1/2)[yi(k)-yi(k-1)]+yq(k-1/2)[yq(k)-yq(k-1)](18)其中,e(k)表示第k个符号检查到的定时误差,yi(k)和yq(k)表示为y(k)的同向与正交分量,y(k-1/2)及y(k-1)同理。在该算法中,每个符号有两个采样点来计算定时误差,每个符号能得到一个定时误差值。且误差检测输入数据的速率是符号速率的两倍。步骤2.2在每个码元周期内,即可估计一次定时误差,且与载波相位无关,其具体证明过程如下:对于二进制相移键控(bpsk)和同相-正交(i-q)路解调,设定时同步检测单元输入复信号为:w(t)=[a(t)+b(t)]ejδθ(19)其中,δθ表示相位角。实部x1(t)和虚部x2(t)分别表示为:由式(19)~式(20)可知,式(18)可等效表示为:ut(t)=x1(t-t/2)[x1(t)-x1(t-t)]+x2(t-t/2)[x2(t)-x2(t-t)](21)其中,t如步骤1.2.2所定义。将(19)代入(20)得:ut(t)=a(t-t/2)[a(t)-a(t-t)]+b(t-t/2)[b(t)-b(t-t)](22)由式(19)~(22)可知:定时误差信号与载波相位无关,无须提前锁定载波相位;但对bpsk,其中一个正交支路只包含噪声信号,无需对其处理。由式(18)表明,定时误差幅度可由两个相邻符号计算获得,且e(k)有正负值。若采样超前时,则y(k)-y(k-1)的值小于零,中间采样点值大于零,e(k)均大于零;若采样滞后,则y(k)-y(k-1)的值大于零,中间采样点值小于零,e(k)均小于零;若采样正确时,则y(k)-y(k-1)的值小于零,中间采样点值等于零,e(k)为零。当第k个和(k-1)个符号点值极性相反时,(k-1/2)样点值应该为零或在零附近正负跳跃变化;当极性相同时,则误差为零;可通过判定误差信号极性确定同步调整方向;同时,当且仅当y(k)和y(k-1)异号时,才可由公式(18)检测定时误差。优选的,步骤三,并行处理环路滤波方法采用以下步骤实现:步骤3.1将上述步骤2.1中的定时误差e(k)经定时同步环路,由环路方程式确定本方法的滤波系数α和β。其中,α和β为实数,表示二阶环路直通路与反馈路系数,且环路方程式如下所示:e(k)=a[τ(k-l)-e(k-l)]·f(k)(23)其中,τ(k)与e(k)分别表示k时刻的定时估计值和其估计误差;a为实数,表示环路增益系数;l为实数,表示环路总延时;f(k)表示二阶滤波传输方程,经现有技术z变换可得:f(z)=α(1-z-1)+β/(1-z-1)2(24)其中,z变换为现有技术,已在
背景技术
说明,且α和β均与环路带宽和环路增益有关。其环路带宽bl为:其中,tu为实数,表示环路参数更新时间;bl为实数,表示环路带宽。表示闭环传输方程。其中,j为虚数单位;π为圆周率;f为实数;表示本地振荡器频率,该方程可由式(23)经现有技术z变换得到:h(z)=af(z)/[zl+af(z)](26)其中,α和β可由bl与tu来计算:直通路与反馈路系数之比为:α/β=5/4bltu(28)且对环路更新时间一定,即tu=j,j为实数常量时,最大环路带宽bl=0.1/j;步骤3.2在误差检测过程,因存在导致定时误差难以平滑及定时环路调整难以平稳的高频噪声,故需采用相应方法消除其影响。采用积分滤波方案,其s域公式为:h(s)=1+sτ2/sτ1(29)步骤3.3采用双线性变换法,将将s=2*(1-z-1)/[t(1+z-1)](t为采样间隔),代入到步骤3.2的式(29)中,将其变换到z域上,则可得对应公式为:h(z)=[fτ2-0.5+1(1-z-1)]fτ1(30)其中,s=2(1-z-1)t(1+z-1)。步骤3.4设环路增益为k=kd*k0。其中,kd为鉴相器增益;k0为nco增益。因此,可将公式(30)变形为:h(z)=[fτ2-0.5+1(1-z-1)]·(kfτ1)·(1/k)(31)对于理想二阶环路滤波器有:fτ2=2fξ/bl>>0.5(34)由式(32)~式(34),可得环路滤波器对应z域上的方程为:对式(35)完成反变换处理,在离散时域上,可得环路滤波过程递归方程为:w(k)=w(k-1)+q·{c1·[e(k)-e(k-1)]+c2·e(k)}(36)其中,w(k)为实数变量,表示相位递减步长(nco控制字),控制nco调整相位步长值,由定时误差信息经环路滤波处理后提供,且其初始值为w0=ts/ti,且ts与ti如步骤1.2所定义;q为非零自然数,表示步长控制增益;c1=2blξ/q,c2=bl2/qf,且bl为步骤2.1所述的变量;ξ为实数,表示阻尼系数。优选的,步骤四,并行处理插值控制方法采用以下步骤实现:步骤4.1由步骤1.1、步骤1.2及步骤1.3实现插值过程,而其准确的插值位置信息由nco提供。nco根据步骤2.1计算出的定时误差信息,给插值滤波器提供插值滤波运算所需要的插值位置参数mk和uk。设η(k)表示第k时刻时的nco中寄存器内容。因nco可表示为一个差分方程、一个相位递减器,故在第k个采样时刻时,η(k)由环路递减工作特性,并由步骤3.4中由nco计算输出的步长值w(k),确定下一时刻η(k+1),表达式为:η(k+1)=[η(k)-w(k)]mod1(37)其中,mod为模函数,保证η(k)的值在区间[0,1)内。nco寄存器中的值η(k)每采样周期减一次w(k),每当出现一次过零点,则产生一个插值脉冲,控制插值滤波单元实现插值操作。步骤4.2在具体插值控制过程中,设η_temp(k+1)为η(k)与w(k)的差值;具体操作过程如下:步骤4.2.1计算η_temp(k+1)=η(k)-w(k);步骤4.2.2对η_temp(k+1)判决处理如下:当η_temp(k+1)>0时,η(k+1)=η_temp(k+1),此刻不实施内插操作,等下一个内插时钟再内插;当η_temp(k+1)≤0时,η(k+1)=mod[η_temp(k+1),1],则可判断第k点为内插基点mk1,且mk1为实数,mk1≠mk,mk如步骤1.2所定义;并由单个符号的相邻采样点y(k),y(k-1/2)及y(k-1)的同向与正交分量,且其如步骤1.3所述,计算出内插点;当η(k)超出变量范围,即η(k)>ζ时,ζ为实数,表示nco寄存器实际深度,据以上步骤4.2.1及步骤4.2.2可知,第k点为内插基点mk1;由相似三角形对应边成比例的关系,可得:ukts/η(mk)=(1-uk)ts/(1-η(mk+1))(38)对式(38)做相应变形,可得分数间隔uk1:uk1=η(mk)/{1-η(mk)+η(mk+1)}=η(mk)/w(mk)(39)其中,uk1为实数,且uk1≠uk,uk如步骤1.2所定义。因w(k)≈ts/ti,其比值可取为2等实数。其中,分数间隔曲线有三种形式:(1)当采样频率和符号频率在频率和相位上完全吻合时,定时误差检测误差为0,分数间隔逐渐趋近一个常数;(2)当采样频率和符号频率比值保持为一个固定值时,分数间隔就会表现为锯齿形上升或者锯齿形下降;(3)当采样频率是不断变化,则分数间隔表现为上下抖动。因此,可通过观察分数间隔变化来判断定时同步环路收敛情况。步骤4.3由步骤4.2确定新的内插基点mk1与内插分数间隔uk1,算出正确的新内插点m1,且m1为实数,m1≠m0,m0如步骤1.3所述;采样值经数据滤波后,反馈至步骤2.1,得到相应的定时误差;之后,再次更新步长值w(k),直至不再变化,确定最佳的内插点m2,且m2为实数,m2≠m1,完成定时误差检测,实现定时同步。在本发明中,需要z变换及重采样方法等现有技术,这些技术描述如下:z变换方法离散时间序列x[n]的双边z变换定义为:其中,上式z=eρ+jw=eρ(cosw+jsinw),ρ为实变数,w为实变量,故z是一个幅度为eρ,相位为w的复变量。x[n]与x(z)构成一个z变换对。单边z变换只对右边序列实施z变换,即n≥0部分序列。对于因果序列,双边z变换和单边z变换相同。重采样方法取(x,y)点周围的4邻点,在y方向(或x方向)内插两次,再在x方向(或y方向)内插一次,得(x,y)点的值f(x,y)。设4个邻点分别为(i,j),(i,j+1),(i+1,j),(i+1,j+1),i代表左上角为原点的行数,j代表列数。设α1=x-i,β1=y-j,过(x,y)作直线与x轴平行,与4邻点组成的边相交于点(i,y)和点(i+1,y)。先在y方向内插,计算交点的值f(i,y)和f(i+1,y)。f(i,y)即由f(i,j+1)与f(i,j)内插计算而来。本发明通过定时同步插值,检测定时误差并生成误差信号,经由环路滤波处理,降低噪声对定时误差信号的影响,并采用积分滤波方案,经z域与s域变换,求得环路滤波过程递归方程,使得步长值参数更新变化,实现再次插值,由内插方法对重采样时刻时钟相位多次调整,提取单个符号的两个相邻采样点幅度和极性变化信息,并在两采样点中间位置采样,由误差检测方程计算定时误差信息,进而形成了过程复杂度低、误码性能较高及同步快速的定时同步算法,可有效克服副峰效应及定时平台效应。本发明基于精准环路滤波的并行定时同步方法,其包括:并行处理定时同步插值方法,并行处理定时误差检测方法,并行处理环路滤波方法,并行处理插值过程控制方法。本发明通过反馈式定时同步环路,依次由插值滤波过程(拉格朗日算法插值,范得蒙行列式求解插值系数)、定时误差检测过程、环路滤波输出过程(环路带宽与参数更新时间确定环路滤波系数,积分滤波方案求解递归方程)、更新步长值控制再次插值过程等,较精确获取到相邻码元间的采样点幅度与极性变化信息,判断相位调整的方向,多次反复对重采样时刻时钟相位调整,进而提高定时误差检测精度,从而形成了过程复杂度低、误码性能较高及同步快速的定时同步方法。本发明附加的方面和优点将在下述描述中给出,且其部分较复杂功能将在以下描述中变得明显,或通过实践本发明的方法与步骤得以理解。附图说明本发明上述及附加的内容和特点,将在以下结合附图对实施例的描述中,变得明显、容易理解和实施,其中:图1为本发明实施例中并行处理定时同步方法流程图。图2为本发明实施例中接收信号并行处理结构图。图3为本发明实施例中重采样—去重采样方法,实现符号恢复原理图。图4为本发明实施例中并行处理定时同步过程实现结构图。图5为本发明实施例中闭环定时同步算法等效结构图。图6为本发明实施例中确定内插点位置,异步数据恢复原理图。图7为本发明实施例中实现插值滤波结构示意图。图8为本发明实施例中实现环路滤波过程流程图。图9为本发明实施例闭环定时同步算法中,定时误差检测电路图。图10为本发明实施例中,nco内部过程实现结构图。具体实施方式以下通过具体实施例、并结合附图对本发明作进一步详细描述。本发明所提供的基于精准环路滤波的并行定时同步方法可应用于无线及电力线等高速数字通信领域,并不限于以下实施例所详细说明的领域。以下选取典型的基于精准环路滤波的并行定时同步方法,详细说明本发明的具体实施方式。本发明依次经过下述主要步骤得以实现:接收的模拟信号经下变频后,通过模拟/数字转换变为数字信号;利用已有方法(此方法可借鉴“王忠俊,李之平,胡赛桂等.tds-ofdm系统中pn序列的设计方法及检测前信号的捕获方法[p].中国专利:cn102065051a,2011-05-18.”)通过pn序列填充保护间隔,形成ofdm帧信号;将信号分配至多条串行通路,增加并行路数;并行输入信号经过插值过程,当基本采样点数为偶数时,可采用范得蒙行列式求解插值系数后,可算出内插基点与内插分数间隔,确定内插基点位置;由内插基点的采样值及相邻的两个采样值,由数据滤波后输出的同向与正交分量,经加减乘计算,算出定时误差信号;由定时环路带宽及环路参数更新时间来确定环路滤波系数(直通路与反馈路系数),并采用积分滤波方案,经z域与s域变换,求得环路滤波过程递归方程,更新相位步长值,并可减小噪声对定时误差信号的影响,让定时误差更加平滑,确定新的内插基点与分数间隔;不断循环反馈调节,判决内插点所在的最佳位置,再经定时误差检测,可得每路定时误差;对每路定时误差求和,得平均定时误差,进而可得信号在最佳采样时刻的近似,实现符号定时同步。该定时同步方法,可用于适合低信噪比传输及杂波干扰恶劣的高速数字通信等场合。本发明的具体实施方式,可依次通过以下图例来详细说明。如图1所示,为本发明实施例中,并行处理定时同步方法流程图。接收的模拟信号经模拟/数字转换,变为数字信号;利用已有方法通过pn序列填充保护间隔,形成ofdm帧信号;将信号分配至n1条并行路数,如n1步骤1.2所述;并行输入信号经过插值过程,算出内插基点mk与内插分数间隔uk,确定内插点位置m0,且mk,uk及m0如步骤1.2和1.3所述;由内插点的采样值及相邻的两个采样值,由数据滤波后输出的同向与正交分量,经加减乘计算,算出定时误差信号;误差信号经环路滤波,并相应更新相位步长值,确定新的内插基点与分数间隔;不断循环反馈调节,判决内插点所在的最佳位置,再经定时误差检测,可得每路定时误差;对每路定时误差求和,得平均定时误差,进而可得信号在最佳采样时刻的近似,实现符号定时同步。如图2所示,为本发明实施例中将接收信号并行处理结构图。将信号分配至多条串行通路,降低每路串行解调器处理速度,增加并行路数,可提高解调器解调速度;同时,随着工艺水平与技术的提高,单路串行解调器处理速度随之提高,可进一步提高解调速度。对每一路数据鉴相及匹配滤波后,可完成时钟同步、数据同步及相位同步等功能。如图3所示,为本发明实施例中重采样—去重采样方法,实现符号恢复原理图。并行定时同步算法在具体硬件实现时,与串行定时同步算法有较大不同。串行定时同步算法可先扣除或添加高倍时钟周期后,分频来恢复符号时钟;但在并行处理时行不通,以16路并行为例,解调器接收信号向量输入周期大约是qpsk符号周期4倍,若扣除某个时钟周期,则会丢少量比特,严重影响系统同步性能。故本方法利用重采样—去重采样的方法实现符号恢复。在实现过程中,a/d采样速率高于四倍符号速率时,会重采样,即a/d提前采样一个符号周期,只需提取被重采样符号,就可实现正确解调。如图4所示,为本发明实施例中并行处理定时同步算法实现结构图。并行输入信号以固定间隔采样后,实现插值,相应得插值结果;将结果经数据滤波后,输出对应采样点y(k),y(k-1/2)及y(k-1)的同向与正交分量,且y(k),y(k-1/2)及y(k-1)如步骤1.3所述,可计算出定时估计误差;定时误差检测后,生成误差信号,并将其定时错误序列通过环路滤波,并采用积分滤波方案,经z域与s域变换,求得环路滤波过程递归方程,更新控制变量与相位步长值,再次开展重采样插值运算;确定内插基点位置与内插分数间隔定时误差,算出内插点,再次估计定时误差,不断反馈调节,直至步长值不再变化,计算出每路定时误差,实现符号定时同步。其中,选取插值的基本采样点数及参与运算样点数均为偶数,使其具有线性相位;且保证插值点在基本采样点中间,可避免插值中延时干扰。如图5所示,为本发明实施例中闭环定时同步算法等效结构图。环路方程表示为:e(k)=a[τ(k-l)-e(k-l)]*f(k)(40)其中,τ(k)与e(k)分别表示k时刻的定时估计值和其估计误差;a为实数,表示环路增益系数;l为实数,表示环路总延时;f(k)表示二阶滤波传输方程,其经现有技术z变换可得:f(z)=α(1-z-1)+β/(1-z-1)2(41)其中,z变换为现有技术,已在
背景技术
说明;α和β分别为二阶环路直通路与反馈路系数,均与环路带宽和环路增益有关,设计时要确保有足够环路带宽和环路增益。其环路带宽bl为:其中,tu为实数,表示环路参数更新时间;bl为实数,表示环路带宽。表示闭环传输方程。其中,j为虚数单位;π为圆周率;f为实数,表示本地振荡器频率;该方程可由式(1)经z变换得到:h(z)=af(z)/[zl+af(z)](43)其中,α和β可由bl与tu来计算:直通路与反馈路系数之比为:α/β=5/4bltu(45)其中,与环路带宽bl成反比;bltu积小于0.1;对于环路更新时间一定时,最大环路带宽bl=0.1/tu。即在无噪声情况下,环路带宽也不会变更大。且环路带宽越大,跟踪时间越短;环路带宽越小,跟踪时间越长。如图6所示,为本发明实施例中确定内插点位置,异步数据恢复原理图。由步骤1.2所述,插值完成后,确定新的内插基点位置mk与分数间隔uk,可确定内插点m0,且m0如步骤1.3所述;经间隔ti重采样,且重采样技术已在
背景技术
说明,得样值y1(kti);之后,经数据滤波后,输出两个内插点附近的采样点值:y(k)与y(k-1/2)。其中,y(k),y(k-1)与y(k-1/2)定义为如步骤1.3所述。其中,数据滤波过程为:设m表示提前采样周期数,且在0~3内循环;设置六个输入数据为:in1、in2、in3、in4、in5与in6;及两个输出端口为:y(k)与y(k-1/2);由m值,调整模转换开关动态延时输出,即不同m值对应选择两个不同端口作为输入。其工作过程如下表2所示。表2.不同m值对应的传输过程m0123y(k)in4in3in6in5y(k-1/2)in2in1in4in3如图7所示,为本发明实施例中,实现插值滤波结构示意图。信道以固定间隔ts采样,在第m个采样时刻mts,得样值x(mts),且信号采样率大于最高信号频率的2倍。其中,m与ts定义如步骤1.2.1所述。同相-正交(i-q)两路数字信号,经内插滤波器处理后,在第k个采样时刻kti,以间隔ti采样得y(kti),且k为步骤1.2.2所定义。其中,ti与本地接收机符号最佳判决间隔时间t同步,且ti与t定义如步骤1.2.2所述。由ti实现两路信号的判决,且ti作为插值输出后的再采样间隔,非固定不变,自动随nco控制输出调整,保持与符号最佳判决时刻同步。y1(kti)表达式:y1(kti)=∑mx(mts)hi(kti-mts)(46)其中,hi(n)与“∑”如步骤1.2.1所述。定义插值滤波索引式为:i=int(kti/ts)-m(47)其中,int(z)表示不超过z的最大整数。定义一个输入采样基本指针mk和一个分数间隔uk分别为:mk=int(kti/ts)(48)uk=kti/ts-m(49)其中,uk为实数,0≤uk≤1,表示定时内插分数误差;由式(47)~(49)则可得:m=mk-i(50)kti-mts=(i+uk)ts(51)由式(50)~(51)推导可得,再采样点的间隔与输入采样点的间隔关系为:kti=(mk+uk)ts(51)由式(46)~(51)推导可知,数字插值基本方程表示为:采用拉格朗日插值算法,将离散样点转换为连续时域波形,其对应n点多项式为:其中:其中,插值滤波系数ci,“∏”,i,j,i1,i2如步骤1.2.2所述。并将t归一化为t=(i+uk)ts,则由式(52)~(54)可得:ci(uk)=hi[(i+uk)ts](55)当n为偶数时,插值系数ci可由范得蒙行列式求得,其计算式如下:其中,λ表示(-n1)υ,且υ为整数,1<υ<n。对等式(56)两边变形,即对范得蒙行列式求逆,则可得相应插值滤波系数矩阵为:当n为奇数时,约束条件如下:系统响应具有线性相位特性;在样点处没有插值误差;直流分量与uk无关。由式(53)~(55)可得基本样点数为4的三次多项式,其系数为:其中,滚降系数λ可取0.5等0~1之间的实数,且检测灵敏度kd与其有关。随着λ减小,kd随之减小,直至不能有效检测定时误差。由式(46)~(58)可知,当uk=0时,表明无符号偏移,当uk=1时,表示提前采样一个周期。如图8所示,为本发明实施例中,实现环路滤波过程流程图。在误差检测过程,因存在导致定时误差难以平滑及定时环路调整难以平稳的高频噪声,故需采用相应方法消除其影响。采用积分滤波方案,其s域公式为:h(s)=1+sτ2/sτ1(59)采用双线性变换法,将将s=2*(1-z-1)/[t(1+z-1)](t为采样间隔),代入到式(59)中,将其变换到z域上,则可得对应公式为:h(z)=[fτ2-0.5+1/(1-z-1)]/fτ1(60)其中,s=2(1-z-1)/t(1+z-1)。设环路增益为k=kd*k0。其中,kd为鉴相器增益;k0为nco增益。因此,可将公式(60)变形为:h(z)=[fτ2-0.5+1/(1-z-1)]·(k/fτ1)·(1/k)(61)对于理想二阶环路滤波器有:fτ2=2fξ/bl>>0.5(64)由式(62)~式(64),可得环路滤波器对应z域上的方程为:对式(65)完成反变换处理,在离散时域上,可得环路滤波过程递归方程为:w(k)=w(k-1)+q·{c1·[e(k)-e(k-1)]+c2·e(k)}(66)其中,w(k)为实数变量,表示相位递减步长(nco控制字),控制nco调整相位步长值,由定时误差信息经环路滤波处理后提供,且其初始值为w0=ts/ti,且ts与ti如步骤1.2所定义;q为非零自然数,表示步长控制增益;c1=2blξ/q,c2=bl2/qf,且bl为步骤2.1所述的变量;ξ为实数,表示阻尼系数。如图9所示,为本发明实施例中,定时误差检测电路图。对定时误差检测,e(k)表示第k个符号检查到的定时误差,定时误差检测算法数学表达式为:e(k)=yi(k-1/2)[yi(k)-yi(k-1)]+yq(k-1/2)[yq(k)-yq(k-1)](67)其中,y(k),y(k-1/2)及y(k-1)定义如步骤1.3所述,e(k)表示第k个符号检查到的定时误差,yi(k)和yq(k)表示为y(k)的同向与正交分量,y(k-1/2)及y(k-1)同理。在该算法中,每个符号有两个采样点来计算定时误差,每个符号能得到一个定时误差值。且误差检测输入数据的速率是符号速率的两倍。由式(67)表明,定时误差幅度可由两个相邻符号计算获得,且e(k)有正负值。若采样超前时,则y(k)-y(k-1)的值小于零,中间采样点值大于零,e(k)均大于零;若采样滞后,则y(k)-y(k-1)的值大于零,中间采样点值小于零,e(k)均小于零;若采样正确时,则y(k)-y(k-1)的值小于零,中间采样点值等于零,e(k)为零。当第k个和(k-1)个符号点值极性相反时,(k-1/2)样点值应该为零或在零附近正负跳跃变化;当极性相同时,则误差为零;可通过判定误差信号极性确定同步调整方向;同时,当且仅当y(k)和y(k-1)异号时,才可由公式(67)检测定时误差。如图10所示,为本发明实施例中,nco内部过程实现结构图。nco的作用是提供采样时钟,为码率时钟的2倍,分别采最佳采样值y(k)和最佳采样值之间的采样值y(k-1/2)。将自由计数器与定时误差的和与fs/(2fs)相比较,当计数器与定时误差的和大于等于fs/(2fs)时,输出l,并让计数器复位以重新计数,反之输出为零,计数器继续计数。其中,fs为采样率,fs为码率,nco输出是离散的选通信号,当定时同步后nco输出1的频率为2倍的码率。另外,由模2的使能计数器与寄存器来形成一个简单的分频器,来区分获取到的y(k-1/2)与y(k)值,以便能够正确地计算定时误差。首先,由nco输出对经插值滤波后的基带信号进行采样保持,得到y(k)与y(k-1)及最佳采样点之间的采样点y(k-1/2);然后,由nco输出作为使能计数器的使能信号,当计算器输出为1时,选通输出为最佳采样值,当计数器输出为2时,选通输出为最佳采样值之间的采样点。设采样滞后,输出定时误差为1时,并将误差与计数器相加,使得计数器输出提前一个采样时刻满足大于等于fs/(2fs)的条件,则nco输出会提前一个采样时刻到来,反复调整使得定死准确,输出定时误差为0或在0附近跳动变化。本发明基于精准环路滤波的并行定时同步方法,其包括并行处理结构图、重采样-去采样符号恢复原理图、环路滤波流程图(积分滤波方案)、闭环定时同步算法等效结构、furrow插值滤波结构图,及定时误差的检测。本发明依次经过以下步骤实现定时同步:接收的模拟信号经下模拟/数字转换,变为数字信号;利用已有方法通过pn序列填充ofdm保护间隔,形成ofdm帧信号;并行输入信号经过插值过程,当基本采样点数为偶数时,可采用范得蒙行列式求解插值系数后,可算出内插基点与内插分数间隔,确定内插基点位置;内插基点的采样值及相邻的两个采样值,由数据滤波后输出的同向与正交分量,经加减乘计算,算出定时误差信号;由定时环路带宽及环路参数更新时间来确定环路滤波系数(直通路与反馈路系数),并采用积分滤波方案,经z域与s域变换,求得环路滤波过程递归方程,更新相位步长值,并可减小噪声对定时误差信号的影响,让定时误差更加平滑,确定新的内插基点与分数间隔;不断循环反馈调节,判决内插点所在的最佳位置,再经定时误差检测,可得每路定时误差;对每路定时误差求和,得平均定时误差,进而可得信号在最佳采样时刻的近似,实现符号定时同步。通过该方法实现系统定时同步,具有性能高,实现复杂度低,且同步快速等优势,可在高速数字通信等领域的同步场合获得广泛应用。尽管已描述本发明的实施例,但对本领域的技术人员而言,可在不脱离本发明方法原理和精神的情况下对这些实施例进行多种变化、修改、替换和变型,本发明的范围由所附权利要求及其等同限定。即通过改变本发明所述方法中基本采样点数、环路带宽及环路参数更新时间,鉴相器增益、nco增益、步长值控制增益、阻尼系数及环路总延时数值的变化等参数,仍属本发明所述方法的范畴,仍受本专利保护。当前第1页12
当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1