一种芯片设计阶段可靠性评估方法和装置的制造方法_3

文档序号:9432799阅读:来源:国知局
模块42,用于根据BS頂器件模型对所述网表进行前仿真,当前仿真结果满足所述功能模块的需求时,进行版图绘制;
[0073]后仿真模块43,用于在版图绘制完成后,提取布线后的寄生的电容和电阻,根据BS頂器件模型对提取后的网表进行后仿真;
[0074]再次仿真模块44,用于当后仿真结果满足所述功能模块的需求时,根据预先建立的老化BS頂器件模型再次进行仿真;
[0075]制版流片模块45,用于当再次仿真结果满足所述功能模块的需求时,则进行制版流片。
[0076]试验判断模块46,用于对制版流片后的芯片进行封装,并进行产品可靠性试验,判断所述产品可靠性试验是否通过;
[0077]判断执行模块47,用于如果通过,则完成设计和研发;如果未通过,则重新进行所述网表设计或版图绘制。
[0078]优选的,建立所述老化BS頂模型包括:
[0079]对测试器件进行多种可靠性测试项目下不同应力的晶圆级老化测试;
[0080]分别对多种可靠性测试后测试器件的特性进行拟合,提取出相应失效模式的加速因子;
[0081]根据不同失效模式下提取出来的加速因子,计算出测试器件的各特性随使用时间变化的特性;
[0082]根据不同失效模式下提取出来的加速因子,计算出测试器件特性随时间变化的参量;
[0083]根据计算得出的器件特性参量,对传统的BS頂器件模型进行修正,获得老化BS頂器件模型。
[0084]优选的,所述可靠性测试包括以下任意一种或多种:热载流子注入、负温度偏置不稳定效应、氧化膜的经时击穿。
[0085]优选的,所述不同应力、特性分别包括以下任意一种或多种:温度、温度梯度、电压、电流。
[0086]本发明的芯片设计阶段可靠性评估装置,基于传统的器件老化方法和现有工业标准的BS頂器件模型,将两者相互结合起来,在芯片设计阶段就能评估出芯片的寿命;与传统的开发流程相比较,可以大大的缩小产品的开发周期,减少修改光刻板的次数,进而降低开发成本。
[0087]本发明能有多种不同形式的【具体实施方式】,上面以图1-图4为例结合附图对本发明的技术方案作举例说明,这并不意味着本发明所应用的具体实例只能局限在特定的流程或实施例结构中,本领域的普通技术人员应当了解,上文所提供的具体实施方案只是多种优选用法中的一些示例,任何体现本发明权利要求的实施方式均应在本发明技术方案所要求保护的范围之内。
[0088]最后应说明的是:以上所述仅为本发明的优选实施例而已,并不用于限制本发明,尽管参照前述实施例对本发明进行了详细的说明,对于本领域的技术人员来说,其依然可以对前述各实施例所记载的技术方案进行修改,或者对其中部分技术特征进行等同替换。凡在本发明的精神和原则之内,所作的任何修改、等同替换、改进等,均应包含在本发明的保护范围之内。
【主权项】
1.一种芯片设计阶段可靠性评估方法,其特征在于,包括: 根据确定的芯片功能划分功能模块,并根据所述功能模块的需求进行网表设计; 根据BS頂器件模型对所述网表进行前仿真,当前仿真结果满足所述功能模块的需求时,进行版图绘制; 在版图绘制完成后,提取布线后的寄生的电容和电阻,根据BS頂器件模型对提取后的网表进彳丁后仿真; 当后仿真结果满足所述功能模块的需求时,根据预先建立的老化BS頂器件模型再次进行仿真; 当再次仿真结果满足所述功能模块的需求时,则进行制版流片。2.根据权利要求1所述的方法,其特征在于,还包括: 对制版流片后的芯片进行封装,并进行产品可靠性试验,判断所述产品可靠性试验是否通过; 如果通过,则完成设计和研发;如果未通过,则重新进行所述网表设计或版图绘制。3.根据权利要求1或2所述的方法,其特征在于,建立所述老化BS頂模型包括: 对测试器件进行多种可靠性测试项目下不同应力的晶圆级老化测试; 分别对多种可靠性测试后测试器件的特性进行拟合,提取出相应失效模式的加速因子; 根据不同失效模式下提取出来的加速因子,计算出测试器件的各特性随使用时间变化的特性; 根据不同失效模式下提取出来的加速因子,计算出测试器件特性随时间变化的参量; 根据计算得出的器件特性参量,对传统的BSIM器件模型进行修正,获得老化BSIM器件模型。4.根据权利要求3所述的方法,其特征在于,所述可靠性测试包括以下任意一种或多种:热载流子注入、负温度偏置不稳定效应、氧化膜的经时击穿。5.根据权利要求3所述的方法,其特征在于,所述不同应力、特性分别包括以下任意一种或多种:温度、温度梯度、电压、电流。6.一种芯片设计阶段可靠性评估装置,其特征在于,包括: 网表设计模块,用于根据确定的芯片功能划分功能模块,并根据所述功能模块的需求进行网表设计; 前仿真模块,用于根据BS頂器件模型对所述网表进行前仿真,当前仿真结果满足所述功能模块的需求时,进行版图绘制; 后仿真模块,用于在版图绘制完成后,提取布线后的寄生的电容和电阻,根据BS頂器件丰吴型对提取后的网表进彳丁后仿真; 再次仿真模块,用于当后仿真结果满足所述功能模块的需求时,根据预先建立的老化BS頂器件模型再次进行仿真; 制版流片模块,用于当再次仿真结果满足所述功能模块的需求时,则进行制版流片。7.根据权利要求6所述的装置,其特征在于,还包括: 试验判断模块,用于对制版流片后的芯片进行封装,并进行产品可靠性试验,判断所述产品可靠性试验是否通过; 判断执行模块,用于如果通过,则完成设计和研发;如果未通过,则重新进行所述网表设计或版图绘制。8.根据权利要求6或7所述的装置,其特征在于,建立所述老化BS頂模型包括: 对测试器件进行多种可靠性测试项目下不同应力的晶圆级老化测试; 分别对多种可靠性测试后测试器件的特性进行拟合,提取出相应失效模式的加速因子; 根据不同失效模式下提取出来的加速因子,计算出测试器件的各特性随使用时间变化的特性; 根据不同失效模式下提取出来的加速因子,计算出测试器件特性随时间变化的参量; 根据计算得出的器件特性参量,对传统的BSIM器件模型进行修正,获得老化BSIM器件模型。9.根据权利要求8所述的装置,其特征在于,所述可靠性测试包括以下任意一种或多种:热载流子注入、负温度偏置不稳定效应、氧化膜的经时击穿。10.根据权利要求8所述的装置,其特征在于,所述不同应力、特性分别包括以下任意一种或多种:温度、温度梯度、电压、电流。
【专利摘要】本发明公开了一种芯片设计阶段可靠性评估方法和装置,其中,该方法包括:根据确定的芯片功能划分功能模块,并根据所述功能模块的需求进行网表设计;根据BSIM器件模型对所述网表进行前仿真,当前仿真结果满足所述功能模块的需求时,进行版图绘制;在版图绘制完成后,提取布线后的寄生的电容和电阻,根据BSIM器件模型对提取后的网表进行后仿真;当后仿真结果满足所述功能模块的需求时,根据预先建立的老化BSIM器件模型再次进行仿真;当再次仿真结果满足所述功能模块的需求时,则进行制版流片。本发明的芯片设计阶段可靠性评估方法和装置,与传统的开发流程相比,可以缩小产品的开发周期,减少修改光刻板的次数,进而降低开发成本。
【IPC分类】G06F17/50
【公开号】CN105183978
【申请号】CN201510557112
【发明人】陈燕宁, 赵东艳, 张海峰, 付振, 李伯海
【申请人】北京智芯微电子科技有限公司, 国家电网公司
【公开日】2015年12月23日
【申请日】2015年9月2日
当前第3页1 2 3 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1